The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISCA"( http://dblp.L3S.de/Venues/ISCA )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isca

Publication years (Num. hits)
1973 (29) 1974 (38) 1975-1976 (42) 1977 (28) 1978 (39) 1979 (28) 1980 (41) 1981 (42) 1982 (35) 1983 (55) 1984 (47) 1985 (52) 1986 (51) 1987 (36) 1988 (51) 1989 (47) 1990 (35) 1991 (39) 1992 (65) 1993 (33) 1994 (35) 1995 (38) 1996 (29) 1997 (31) 1998 (34) 1999 (27) 2000 (30) 2001 (25) 2002 (28) 2003 (38) 2004 (32) 2005 (46) 2006 (39) 2007 (47) 2008 (38) 2009 (46) 2010 (49) 2011 (41) 2012 (48) 2013 (57) 2014 (47) 2015 (59) 2016 (58) 2017 (55) 2018 (65) 2019 (63) 2020 (83) 2021 (82) 2022 (74) 2023 (85)
Publication types (Num. hits)
inproceedings(2212) proceedings(50)
Venues (Conferences, Journals, ...)
ISCA(2262)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 570 occurrences of 350 keywords

Results
Found 2262 publication records. Showing 2262 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ji Kim, Christopher Torng, Shreesha Srinath, Derek Lockhart, Christopher Batten Microarchitectural mechanisms to exploit value structure in SIMT architectures. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Avi Mendelson (eds.) The 40th Annual International Symposium on Computer Architecture, ISCA'13, Tel-Aviv, Israel, June 23-27, 2013 Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jamie Liu, Ben Jaiyen, Yoongu Kim, Chris Wilkerson, Onur Mutlu An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rodolfo Azevedo, John D. Davis, Karin Strauss, Parikshit Gopalan, Mark S. Manasse, Sergey Yekhanin Zombie memory: extending memory lifetime by reviving dead blocks. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Richard A. Muscat, Karin Strauss, Luis Ceze, Georg Seelig DNA-based molecular architecture with spatially localized components. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ziyi Liu, Jong-Hyuk Lee, Junyuan Zeng, Yuanfeng Wen, Zhiqiang Lin, Weidong Shi CPU transparent protection of OS kernel and hypervisor integrity with programmable DRAM. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Canturk Isci, Suzanne McIntosh, Jeffrey O. Kephart, Rajarshi Das, James E. Hanson, Scott Piper, Robert R. Wolford, Thomas Brey, Robert Kantner, Allen Ng, James Norris, Abdoulaye Traore, Michael Frissora Agile, efficient virtualization power management with low-latency server power states. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Reetuparna Das, Satish Narayanasamy, Sudhir Satpathy, Ronald G. Dreslinski Catnap: energy proportional multiple network-on-chip. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Anys Bacha, Radu Teodorescu Dynamic reduction of voltage margins by leveraging on-chip ECC in Itanium II processors. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Kudrow, Kenneth Bier, Zhaoxia Deng, Diana Franklin, Yu Tomita, Kenneth R. Brown, Frederic T. Chong Quantum rotations: a case study in static and dynamic machine-code generation for quantum computers. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nikos Foutris, Dimitris Gizopoulos, Xavier Vera, Antonio González 0001 Deconfigurable microprocessor architectures for silicon debug acceleration. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1George Kurian, Omer Khan, Srinivas Devadas The locality-aware adaptive cache coherence protocol. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ruirui C. Huang, Erik Halberg, G. Edward Suh Non-race concurrency bug detection through order-sensitive critical sections. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gilles Pokam, Klaus Danne, Cristiano Pereira, Rolf Kassa, Tim Kranich, Shiliang Hu, Justin Emile Gottschlich, Nima Honarmand, Nathan Dautenhahn, Samuel T. King, Josep Torrellas QuickRec: prototyping an intel architecture extension for record and replay of multithreaded programs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hongzhou Zhao, Arrvindh Shriraman, Snehasish Kumar, Sandhya Dwarkadas Protozoa: adaptive granularity cache coherence. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hassan M. G. Wassel, Ying Gao 0001, Jason Oberg, Ted Huffmire, Ryan Kastner, Frederic T. Chong, Timothy Sherwood SurfNoC: a low latency and provably non-interfering approach to secure networks-on-chip. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Young Hoon Son, Seongil O, Yuhwan Ro, Jae W. Lee, Jung Ho Ahn Reducing memory access latency with asymmetric DRAM bank organizations. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Daniel Sánchez 0003, Christos Kozyrakis ZSim: fast and accurate microarchitectural simulation of thousand-core systems. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Adwait Jog, Onur Kayiran, Asit K. Mishra, Mahmut T. Kandemir, Onur Mutlu, Ravishankar R. Iyer 0001, Chita R. Das Orchestrated scheduling and prefetching for GPGPUs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Paula Petrica, Adam M. Izraelevitz, David H. Albonesi, Christine A. Shoemaker Flicker: a dynamically adaptive architecture for power limited multicore systems. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Minsoo Rhu, Mattan Erez Maximizing SIMD resource utilization in GPGPUs with SIMD lane permutation. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kristof Du Bois, Stijn Eyerman, Jennifer B. Sartor, Lieven Eeckhout Criticality stacks: identifying critical threads in parallel programs using synchronization behavior. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Adrian M. Caulfield, Steven Swanson QuickSAN: a storage area network for fast, distributed, solid state disks. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jingwen Leng, Tayler H. Hetherington, Ahmed ElTantawy, Syed Zohaib Gilani, Nam Sung Kim, Tor M. Aamodt, Vijay Janapa Reddi GPUWattch: enabling energy optimizations in GPGPUs. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Naifeng Jing, Yao Shen, Yao Lu, Shrikanth Ganapathy, Zhigang Mao, Minyi Guo, Ramon Canal, Xiaoyao Liang An energy-efficient and scalable eDRAM-based register file architecture for GPGPU. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Janani Mukundan, Hillery C. Hunter, Kyu-Hyoun Kim, Jeffrey Stuecheli, José F. Martínez Understanding and mitigating refresh overheads in high-density DDR4 DRAM systems. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Angshuman Parashar, Michael Pellauer, Michael Adler, Bushra Ahsan, Neal Clayton Crago, Daniel Lustig, Vladimir Pavlov, Antonia Zhai, Mohit Gambhir, Aamer Jaleel, Randy L. Allmon, Rachid Rayess, Stephen Maresh, Joel S. Emer Triggered instructions: a control paradigm for spatially-programmed architectures. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1John Demme, Matthew Maycock, Jared Schmitz, Adrian Tang, Adam Waksman, Simha Sethumadhavan, Salvatore J. Stolfo On the feasibility of online malware detection with performance counters. Search on Bibsonomy ISCA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ting Cao, Stephen M. Blackburn, Tiejun Gao, Kathryn S. McKinley The Yin and Yang of power and performance for asymmetric hardware and managed software. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vasileios Kontorinis, Liuyi Eric Zhang, Baris Aksanli, Jack Sampson, Houman Homayoun, Eddie Pettis, Dean M. Tullsen, Tajana Simunic Rosing Managing distributed UPS energy for effective power capping in data centers. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yoongu Kim, Vivek Seshadri, Donghyuk Lee, Jamie Liu, Onur Mutlu A case for exploiting subarray-level parallelism (SALP) in DRAM. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Minsoo Rhu, Mattan Erez CAPRI: Prediction of compaction-adequacy for handling control-divergence in GPGPU architectures. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jeongseob Ahn, Seongwook Jin, Jaehyuk Huh 0001 Revisiting hardware-assisted page walks for virtualized systems. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Zhe Wang 0023, Samira Manabi Khan, Daniel A. Jiménez Improving writeback efficiency with decoupled last-write prediction. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abhayendra Singh, Satish Narayanasamy, Daniel Marino, Todd D. Millstein, Madanlal Musuvathi End-to-end sequential consistency. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Joseph Devietti, Benjamin P. Wood, Karin Strauss, Luis Ceze, Dan Grossman, Shaz Qadeer RADISH: Always-on sound and complete race detection in software and hardware. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nadathur Satish, Changkyu Kim, Jatin Chhugani, Hideki Saito 0001, Rakesh Krishnaiyer, Mikhail Smelyanskiy, Milind Girkar, Pradeep Dubey Can traditional programming bridge the Ninja performance gap for parallel computing applications? Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Timothy N. Miller, Renji Thomas, Xiang Pan, Radu Teodorescu VRSync: Characterizing and eliminating synchronization-induced voltage emergencies in many-core processors. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yi Xu, Jun Yang 0002, Rami G. Melhem Tolerating process variations in nanophotonic on-chip networks. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Doe Hyun Yoon, Jichuan Chang, Naveen Muralimanohar, Parthasarathy Ranganathan BOOM: Enabling mobile memory based low-power server DIMMs. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Olivier Temam A defect-tolerant accelerator for emerging high-performance applications. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Arun A. Nair, Stijn Eyerman, Lieven Eeckhout, Lizy Kurian John A first-order mechanistic model for architectural vulnerability factor. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Santosh Nagarakatte, Milo M. K. Martin, Steve Zdancewic Watchdog: Hardware for safe and secure manual memory management and full memory safety. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Arkaprava Basu, Mark D. Hill, Michael M. Swift Reducing memory reference energy with opportunistic virtual caching. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rachata Ausavarungnirun, Kevin Kai-Wei Chang, Lavanya Subramanian, Gabriel H. Loh, Onur Mutlu Staged memory scheduling: Achieving high performance and scalability in heterogeneous systems. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Aaron Carpenter, Jianyun Hu, Övünç Kocabas, Michael C. Huang 0001, Hui Wu Enhancing effective throughput for transmission line-based bus. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Myoungsoo Jung, Ellis Herbert Wilson, Mahmut T. Kandemir Physically Addressed Queueing (PAQ): Improving parallelism in Solid State Disks. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jamie Liu, Ben Jaiyen, Richard Veras, Onur Mutlu RAIDR: Retention-aware intelligent DRAM refresh. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Chao Li 0009, Amer Qouneh, Tao Li 0006 iSwitch: Coordinating and optimizing renewable energy powered server clusters. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaikrishnan Menon, Marc de Kruijf, Karthikeyan Sankaralingam iGPU: Exception support and speculative execution on GPUs. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kenzo Van Craeynest, Aamer Jaleel, Lieven Eeckhout, Paolo Narváez, Joel S. Emer Scheduling heterogeneous multi-cores through performance impact estimation (PIE). Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Wentzlaff, Christopher J. Jackson, Patrick Griffin, Anant Agarwal Configurable fine-grain protection for multicore processor virtualization. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Nicolas Brunie, Caroline Collange, Gregory Frederick Diamos Simultaneous branch and warp interweaving for sustained GPU performance. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jonathan Valamehr, Melissa Chase, Seny Kamara, Andrew Putnam, Daniel Shumow, Vinod Vaikuntanathan, Timothy Sherwood Inspection resistant memory: Architectural support for security from physical examination. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mahdi Nazm Bojnordi, Engin Ipek PARDIS: A programmable memory controller for the DDRx interfacing standards. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jason Mars, Naveen Kumar 0002 BlockChop: Dynamic squash elimination for hybrid processor architecture. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1R. Manikantan, Kaushik Rajan, R. Govindarajan Probabilistic Shared Cache Management (PriSM). Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Evgeni Krimer, Patrick Chiang 0001, Mattan Erez Lane decoupling for improving the timing-error resiliency of wide-SIMD architectures. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michihiro Koibuchi, Hiroki Matsutani, Hideharu Amano, D. Frank Hsu, Henri Casanova A case for random shortcut topologies for HPC interconnects. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehmet Kayaalp 0001, Meltem Ozsoy, Nael B. Abu-Ghazaleh, Dmitry Ponomarev 0001 Branch regulation: Low-overhead protection from code reuse attacks. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1John Demme, Robert Martin, Adam Waksman, Simha Sethumadhavan Side-channel vulnerability factor: A metric for measuring information leakage. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Robert Martin, John Demme, Simha Sethumadhavan TimeWarp: Rethinking timekeeping and performance monitoring mechanisms to mitigate side-channel attacks. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaewoong Sim, Jaekyu Lee, Moinuddin K. Qureshi, Hyesoon Kim FLEXclusion: Balancing cache capacity and on-chip bandwidth via Flexible Exclusion. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gaurang Upasani, Xavier Vera, Antonio González 0001 Setting an error detection infrastructure with low cost acoustic wave detectors. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Doe Hyun Yoon, Min Kyu Jeong, Michael B. Sullivan 0001, Mattan Erez The dynamic granularity memory system. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 39th International Symposium on Computer Architecture (ISCA 2012), June 9-13, 2012, Portland, OR, USA Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  BibTeX  RDF
1Ioannis Doudalis, Milos Prvulovic Euripus: A flexible unified hardware memory checkpointing accelerator for bidirectional-debugging and reliability. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1José-María Arnau, Joan-Manuel Parcerisa, Polychronis Xekalakis Boosting mobile GPU performance with a decoupled access/execute fragment processor. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Aniruddha N. Udipi, Naveen Muralimanohar, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi LOT-ECC: Localized and tiered reliability mechanisms for commodity memory systems. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Krishna T. Malladi, Frank A. Nothaft, Karthika Periyathambi, Benjamin C. Lee, Christos Kozyrakis, Mark Horowitz Towards energy-proportional datacenter memory with mobile DRAM. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Melanie Kambadur, Kui Tang, Martha A. Kim Harmony: Collection and analysis of parallel block vectors. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pejman Lotfi-Kamran, Boris Grot, Michael Ferdman, Stavros Volos, Yusuf Onur Koçberber, Javier Picorel, Almutaz Adileh, Djordje Jevdjic, Sachin Idgunji, Emre Ozer 0001, Babak Falsafi Scale-out processors. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pranay Koka, Michael O. McCracken, Herb Schwetman, Chia-Hsin Owen Chen, Xuezhe Zheng, Ron Ho, Kannan Raj, Ashok V. Krishnamoorthy A micro-architectural analysis of switched photonic multi-chip interconnects. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrea Pellegrini, Joseph L. Greathouse, Valeria Bertacco Viper: Virtual pipelines for enhanced reliability. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Moinuddin K. Qureshi, Michele Franceschini, Ashish Jagmohan, Luis A. Lastras PreSET: Improving performance of phase change memories by exploiting asymmetry in write times. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Elliott Cooper-Balis, Paul Rosenfeld, Bruce L. Jacob Buffer-on-board memory systems. Search on Bibsonomy ISCA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Doe Hyun Yoon, Min Kyu Jeong, Mattan Erez Adaptive granularity memory systems: a tradeoff between storage efficiency and throughput. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Binzhang Fu, Yinhe Han 0001, Jun Ma, Huawei Li 0001, Xiaowei Li 0001 An abacus turn model for time/space-efficient reconfigurable routing. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Shuou Nomura, Matthew D. Sinclair, Chen-Han Ho, Venkatraman Govindaraju, Marc de Kruijf, Karthikeyan Sankaralingam Sampling + DMR: practical and low-overhead permanent fault detection. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sriram Govindan, Anand Sivasubramaniam, Bhuvan Urgaonkar Benefits and limitations of tapping into stored energy for datacenters. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ravi R. Iyer 0001, Qing Yang 0001, Antonio González 0001 (eds.) 38th International Symposium on Computer Architecture (ISCA 2011), June 4-8, 2011, San Jose, CA, USA Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Kai Ma, Xue Li, Ming Chen 0002, Xiaorui Wang Scalable power control for many-core architectures running multi-threaded applications. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Yunsup Lee, Rimas Avizienis, Alex Bishara, Richard Xia, Derek Lockhart, Christopher Batten, Krste Asanovic Exploring the tradeoffs between programmability and efficiency in data-parallel accelerators. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Blas Cuesta, Alberto Ros 0001, María Engracia Gómez, Antonio Robles, José Duato Increasing the effectiveness of directory caches by deactivating coherence for private memory blocks. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Siddhartha Chhabra, Yan Solihin i-NVMM: a secure non-volatile main memory system with incremental encryption. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Asit K. Mishra, Narayanan Vijaykrishnan, Chita R. Das A case for heterogeneous on-chip interconnects for CMPs. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Asit K. Mishra, Xiangyu Dong, Guangyu Sun 0003, Yuan Xie 0001, Narayanan Vijaykrishnan, Chita R. Das Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Lingjia Tang, Jason Mars, Neil Vachharajani, Robert Hundt, Mary Lou Soffa The impact of memory subsystem resource sharing on datacenter applications. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Neal Clayton Crago, Sanjay J. Patel OUTRIDER: efficient memory latency tolerance with decoupled strands. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Aniruddha N. Udipi, Naveen Muralimanohar, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sangeetha Sudhakrishnan, Rigo Dicochea, Jose Renau Releasing efficient beta cores to market early. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mark Gebhart, Daniel R. Johnson, David Tarjan, Stephen W. Keckler, William J. Dally, Erik Lindholm, Kevin Skadron Energy-efficient mechanisms for managing thread context in throughput processors. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sheng Ma, Natalie D. Enright Jerger, Zhiying Wang 0003 DBAR: an efficient routing algorithm to support multiple concurrent applications in networks-on-chip. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Mohit Tiwari, Jason Oberg, Xun Li 0001, Jonathan Valamehr, Timothy E. Levin, Ben Hardekopf, Ryan Kastner, Frederic T. Chong, Timothy Sherwood Crafting a usable microkernel, processor, and I/O system with strict and provable information flow security. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jayesh Gaur, Mainak Chaudhuri, Sreenivas Subramoney Bypass and insertion algorithms for exclusive last-level caches. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, Yale N. Patt Prefetch-aware shared resource management for multi-core systems. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Joseph L. Greathouse, Zhiqiang Ma, Matthew I. Frank, Ramesh Peri, Todd M. Austin Demand-driven software race detection using hardware performance counters. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wing-Kei S. Yu, Ruirui C. Huang, Sarah Q. Xu, Sung-En Wang, Edwin Kan, G. Edward Suh SRAM-DRAM hybrid memory with applications to efficient register files in fine-grained multi-threading. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alaa R. Alameldeen, Ilya Wagner, Zeshan Chishti, Wei Wu 0024, Chris Wilkerson, Shih-Lien Lu Energy-efficient cache design using variable-strength error-correcting codes. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Thomas W. Barr, Alan L. Cox, Scott Rixner SpecTLB: a mechanism for speculative address translation. Search on Bibsonomy ISCA The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2262 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license