The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "Integr."( http://dblp.L3S.de/Venues/Integr. )

URL (DBLP): http://dblp.uni-trier.de/db/journals/integration

Publication years (Num. hits)
1983 (21) 1984 (20) 1985 (23) 1986 (26) 1987 (23) 1988 (17) 1989 (40) 1990 (28) 1991 (49) 1992 (26) 1993 (39) 1994 (18) 1995 (19) 1996 (17) 1997 (30) 1998 (22) 1999 (16) 2000 (20) 2001-2002 (27) 2003 (26) 2004 (32) 2005 (21) 2006 (22) 2007 (50) 2008 (46) 2009 (49) 2010 (32) 2011 (28) 2012 (41) 2013 (42) 2014 (51) 2015 (69) 2016 (108) 2017 (120) 2018 (118) 2019 (145) 2020 (96) 2021 (98) 2022 (103) 2023 (154) 2024 (40)
Publication types (Num. hits)
article(1972)
Venues (Conferences, Journals, ...)
Integr.(1972)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1972 publication records. Showing 1972 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Even Låte, Trond Ytterdal, Snorre Aunet A loadless 6T SRAM cell for sub- & near- threshold operation implemented in 28 nm FD-SOI CMOS technology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sheldon X.-D. Tan, Hussam Amrouch, Taeyoung Kim 0001, Zeyu Sun 0001, Chase Cook, Jörg Henkel Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited). Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Tuotian Liao, Lihong Zhang Efficient parasitic-aware hybrid sizing methodology for analog and RF integrated circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Chang Liu 0019, Xu He, Bin Liang, Yang Guo 0003 Detailed placement for pulse quenching enhancement in anti-radiation combinational circuit design. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Austin Lancaster, Manish Keswani Integrated circuit packaging review with an emphasis on 3D packaging. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Safa Berrima, Yves Blaquière, Yvon Savaria Diagnosis algorithms for a reconfigurable and defect tolerant JTAG scan chain in large area integrated circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Armineh Arasteh, Mohammad Hossein Moaiyeri, MohammadReza Taheri, Keivan Navi, Nader Bagherzadeh An energy and area efficient 4: 2 compressor based on FinFETs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohamed Khairy Bahry, Mohamed El-Nozahi, Emad Hegazi An all-digital low ripples capacitive DC-DC converter with load tracking controller. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Kunwar Singh, Aman Jain, Aviral Mittal, Vinay Yadav, Atul Anshuman Singh, Anmoll Kumar Jain, Maneesha Gupta Optimum transistor sizing of CMOS logic circuits using logical effort theory and evolutionary algorithms. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Arindrajit Ghosh, Uddalak Bhattacharya, Swapna Banerjee Contention free delayed keeper for high density large signal sensing memory compiler. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Alireza Ghorbani, M. B. Ghaznavi-Ghoushchi A low-area, 43.5% PAE, 0.9 W, Class-E differential power amplifier in 2.4 GHz for IoT applications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ahmad Karimi, Abdalhossein Rezai, Mohammad Mahdi Hajhashemkhani A novel design for ultra-low power pulse-triggered D-Flip-Flop with optimized leakage power. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sameh Attia, Hossam A. H. Fahmy, Yehea Ismail, Hassan Mostafa Optimizing FPGA-based hard networks-on-chip by minimizing and sharing resources. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Paria Jamshidi, Mohammad Maymandi-Nejad Analysis of the impact of interferers on VCO-based continuous time delta-sigma modulators. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Govinda Sannena, Bishnu Prasad Das Metastability immune and area efficient error masking flip-flop for timing error resilient designs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yi Xiang, Sudeep Pasricha Mixed-criticality scheduling on heterogeneous multicore systems powered by energy harvesting. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hari Mohan Gaur, Ashutosh Kumar Singh 0001, Umesh Ghanekar Offline Testing of Reversible Logic Circuits: An Analysis. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Naci Pekcokguler, Günhan Dündar, Hamdi Torun, Arda D. Yalcinkaya A novel equivalent circuit model for split ring resonator with an application of low phase noise reference oscillator. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Francesca Stradolini, Abuduwaili Tuoheti, Tugba Kilic, Danilo Demarchi, Sandro Carrara Raspberry-Pi based system for propofol monitoring. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Danaie, Esmaeel Ranjbar, Mojtaba Ahmadieh Khanesar MOSCAP compensation of three-stage operational amplifiers: Sensitivity and robustness, modeling and analysis. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Larry Pearlstein, Skyler Maxwell, Alex Aved Adaptive prediction resolution video coding for reduced DRAM bandwidth. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Cuauhtémoc R. Aguilera-Galicia, Omar Longoria-Gandara, Luis Pizano-Escalante, Javier Vázquez Castillo, Manuel Salim Maza On-chip implementation of a low-latency bit-accurate reciprocal square root unit. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Kiruba, V. Sumathy Register Pre-Allocation based Folded Discrete Tchebichef Transformation Technique for Image Compression. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yongsuk Choi, Gyunam Jeon, Yong-Bin Kim Transceiver design for LVSTL signal interface with a low power on-chip self calibration scheme. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mitesh Limachia, Dixit Vyas, Rajesh Amratlal Thakker, Nikhil Kothari Hybrid offset compensated latch-type sense amplifier for tri-gated FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Sadeghi, Hooman Nikmehr Aging mitigation of L1 cache by exchanging instruction and data caches. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Yoritaka Ishiguchi, Daishi Isogai, Takuma Osawa, Shigetoshi Nakatake Analog perceptron circuit with DAC-based multiplier. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Sarang Kazeminia, Khayrollah Hadidi A foreground-liked continuous-time offset cancellation strategy for open-loop inter-stage amplifiers in high-resolution ADCs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Xiao Zhao, Yongqing Wang, Liyuan Dong Super current recycling folded cascode amplifier with ultra-high current efficiency. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1M. Mohamed Asan Basiri, Sandeep K. Shukla Low power hardware implementations for network packet processing elements. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Philipp Tertel, Lars Hedrich Real-time emulation of block-based analog circuits on an FPGA. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Mehdi Panahi, Omid Hashemipour, Keivan Navi A novel design of a ternary coded decimal adder/subtractor using reversible ternary gates. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ismail Bayram, Yiran Chen 0001 NV-TCAM: Alternative designs with NVM devices. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mitesh Limachia, Rajesh Amratlal Thakker, Nikhil Kothari A near-threshold 10T differential SRAM cell with high read and write margins for tri-gated FinFET technology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Baixin Chen, Cheng Zhuo, Yiyu Shi 0001 A physics-aware methodology for equivalent circuit model extraction of TSV-inductors. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Amr Hassan, Hassan Mostafa, Hossam A. H. Fahmy NoC-DPR: A new simulation tool exploiting the Dynamic Partial Reconfiguration (DPR) on Network-on-Chip (NoC) based FPGA. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Roberto Giorgio Rizzo, Andrea Calimera, Jun Zhou 0017 Approximate Error Detection-Correction for efficient Adaptive Voltage Over-Scaling. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jui-Hung Hsieh, Rong-Choi Lee, King-Chu Hung, Meng-Ju Shih Rapid and coding-efficient SPIHT algorithm for wavelet-based ECG data compression. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Jinglei Huang, Wei Zhong, Zhigang Li, Song Chen 0001 Lagrangian relaxation-based routing path allocation for application-specific network-on-chips. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Farzaneh Nakhaee, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie, Hamed Dorosti Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Roberto Sanchez Correa, Jean-Pierre David Ultra-low latency communication channels for FPGA-based HPC cluster. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Syed Ershad Ahmed, Ch. Santosh Varma, M. B. Srinivas Improved designs of digit-by-digit decimal multiplier. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Hitesh Pahuja, Mintu Tyagi, Sudhakar Panday, Balwinder Singh A novel single-ended 9T FinFET sub-threshold SRAM cell with high operating margins and low write power for low voltage operations. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Dimitrios Balobas, Nikos Konofaos High-performance and energy-efficient 64-bit incrementer/decrementer using Multiple-Output Monotonic CMOS. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Priyajit Mukherjee, Sandeep D'Souza, Santanu Chattopadhyay Area Constrained Performance Optimized ASNoC Synthesis with Thermal‐aware White Space Allocation and Redistribution. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Aili Wang 0002, Chuanjin Richard Shi A 10-bit 50-MS/s SAR ADC with 1 fJ/Conversion in 14 nm SOI FinFET CMOS. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Navonil Chatterjee, Priyajit Mukherjee, Santanu Chattopadhyay Reliability-aware application mapping onto mesh based Network-on-Chip. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Umberto Garlando, Fabrizio Riente, Giovanna Turvani, A. Ferrara, Giulia Santoro, Marco Vacca, Mariagrazia Graziano Architectural exploration of perpendicular Nano Magnetic Logic based circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Federica Resta, Simone Gerardin, S. Mattiazzo, Alessandro Paccagnella, Marcello De Matteis, Christian C. Enz, Andrea Baschirotto 1GigaRad TID impact on 28 nm HEP analog circuits. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Fábio Passos, Ricardo Martins 0003, Nuno Lourenço 0003, Elisenda Roca, Ricardo Povoa, António Canelas, Rafael Castro-López, Nuno Horta, Francisco V. Fernández 0001 Enhanced systematic design of a voltage controlled oscillator using a two-step optimization methodology. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Valerio Tenace, Andrea Calimera Quasi-exact logic functions through classification trees. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Etienne Lepercq, Yves Blaquière, Yvon Savaria A pattern-based routing algorithm for a novel electronic system prototyping platform. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Ailin Zhang, Guoyong Shi A fast symbolic SNR computation method and its Verilog-A implementation for Sigma-Delta modulator design optimization. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Mohammad Abdel-Majeed, Waleed Dweik Low overhead online periodic testing for GPGPUs. Search on Bibsonomy Integr. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
1Aiman H. El-Maleh A probabilistic pairwise swap search state assignment algorithm for sequential circuit optimization. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Keith A. Campbell, Wei Zuo, Deming Chen New advances of high-level synthesis for efficient and reliable hardware design. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Fábio Passos, Elisenda Roca, Rafael Castro-López, Francisco V. Fernández 0001 An inductor modeling and optimization toolbox for RF circuit design. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Aaron Stillmaker, Bevan M. Baas Scaling equations for the accurate prediction of CMOS device performance from 180 nm to 7 nm. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tsung-Yi Ho, Baris Taskin Special issue on IEEE/ACM System Level Interconnect Prediction (SLIP) Workshop 2016. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Anirban Sengupta, Dipanjan Roy, Saumya Bhadauria Low cost optimized Trojan secured schedule at behavioral level for single & Nested loop control data flow graphs (Invited Paper). Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Varun Venkatesan, Swamy D. Ponpandi, Akhilesh Tyagi Shaping data for application performance and energy optimization in dynamic data view framework. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Enes Eken, Ismail Bayram, Yaojun Zhang, Bonan Yan, Wenqing Wu, Hai (Helen) Li, Yiran Chen 0001 Giant Spin-Hall assisted STT-RAM and logic design. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Abir J. Mondal, Alak Majumder, Bidyut K. Bhattacharyya A mathematical formulation to design and implementation of a low voltage swing transceiver circuit. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mahshid Mojtabavi Naeini, Sreedharan Baskara Dass, Chia Yee Ooi, Tomokazu Yoneda, Michiko Inoue An integrated DFT solution for power reduction in scan test applications by low power gating scan cell. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yibo Lin, Bei Yu 0001, Yi Zou, Zhuo Li 0001, Charles J. Alpert, David Z. Pan Stitch aware detailed placement for multiple E-beam lithography. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Navid Khoshavi, Rizwan A. Ashraf, Ronald F. DeMara, Saman Kiamehr, Fabian Oboril, Mehdi Baradaran Tahoori Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Weijing Shi, Mohamed Baker Alawieh, Xin Li 0001, Huafeng Yu Algorithm and hardware implementation for visual perception system in autonomous vehicle: A survey. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Olufemi Akindele Olumodeji, Massimo Gottardi Arduino-controlled HP memristor emulator for memristor circuit applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohsen Shahghasemi, Mohammad Yavari MASH ΣΔ modulators with a noise-shaped two-step ADC in the second stage. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hala Hamadeh, Soma Chaudhuri, Akhilesh Tyagi Area, energy, and time assessment for a distributed TPM for distributed trust in IoT clusters. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Michael A. Turi, José G. Delgado-Frias Full-VDD and near-threshold performance of 8T FinFET SRAM cells. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Szu Huat Goh, Y. H. Chan, Zhao Lin, Jeffrey Lam Concurrent built-in self-testing under the constraint of shared test resources and its test time reduction. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Niranjan Kumar Ray 0001, Ashok Kumar Turuk A framework for post-disaster communication using wireless ad hoc networks. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Athar Javed Sethi, Fawnizu Azmadi Hussin, Nor Hisham Hamid Bio-inspired fault tolerant network on chip. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Priyajit Mukherjee, Santanu Chattopadhyay Low Power Low Latency Floorplan‐aware Path Synthesis in Application-Specific Network-on-Chip Design. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wei-Ting Jonas Chan, Andrew B. Kahng, Jiajia Li 0002 Revisiting 3DIC benefit with multiple tiers. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Po-Yi Wu, Wai-Kei Mak, Ting-Chi Wang, Cheng Zhuo, Kassan Unda, Yiyu Shi 0001 A routing framework for technology migration with bump encroachment. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bibhas Ghoshal, Chittaranjan Mandal 0002, Indranil Sengupta 0001 Refresh re-use based transparent test for detection of in-field permanent faults in DRAMs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ahmad T. Sheikh, Aiman H. El-Maleh An integrated fault tolerance technique for combinational circuits based on implications and transistor sizing. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jun Chen, Benqing Guo, Boyang Zhang, Guangjun Wen An inductorless wideband common-gate LNA with dual capacitor cross-coupled feedback and negative impedance techniques. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Tiansong Cui, Shuang Chen 0001, Yanzhi Wang, Qi Zhu 0002, Shahin Nazarian, Massoud Pedram An optimal energy co-scheduling framework for smart buildings. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Javier Lemus-López, Alejandro Díaz-Sánchez, José Miguel Rocha-Pérez, Carlos Muñiz-Montero, Jaime Ramírez-Angulo High gain amplifier with feedforward compensation based on quasi-floating gate transistors. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Huyen Thi Pham, Sabooh Ajaz, Hanho Lee High-throughput partial-parallel block-layered decoding architecture for nonbinary LDPC codes. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Jiajun Zhang, Haining Fan Low space complexity CRT-based bit-parallel GF(2n) polynomial basis multipliers for irreducible trinomials. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gan Feng, Lan Yao, Song Chen 0001 AutoNFT: Architecture synthesis for hardware DFT of length-of-coprime-number products. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1George Charitopoulos, Iosif Koidis, Kyprianos Papadimitriou, Dionisios N. Pnevmatikatos Run-time management of systems with partially reconfigurable FPGAs. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ricardo Martins 0003, Nuno Lourenço 0003, António Canelas, Nuno Horta Stochastic-based placement template generator for analog IC layout-aware synthesis. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1M. Mohamed Asan Basiri, Sandeep K. Shukla Flexible VLSI architectures for Galois field multipliers. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bernhard Schmidt, Daniel Ziener, Jürgen Teich, Christian Zöllner 0003 Optimizing scrubbing by netlist analysis for FPGA configuration bit classification and floorplanning. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1S. Dinesh Kumar, Himanshu Thapliyal, Azhar Mohammad, Kalyan S. Perumalla Design exploration of a Symmetric Pass Gate Adiabatic Logic for energy-efficient and secure hardware. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Riccardo Bernardini, Roberto Rinaldo A very stable diode-based physically unclonable constant. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xin Huang 0003, Valeriy Sukharev, Taeyoung Kim 0001, Sheldon X.-D. Tan Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yalçin Balcioglu, Günhan Dündar A standard cell phase locked loop design, analysis and high-level synthesis tool (CellPLL). Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nguyen Cao Qui, Si-Rong He, Chien-Nan Jimmy Liu Cluster-based delta-QMC technique for fast yield analysis. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ganesh Kumar Ganjikunta, Subhendu Kumar Sahoo An area-efficient and low-power 64-point pipeline Fast Fourier Transform for OFDM applications. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Achira Pal, Atal Chaudhuri, Rajat Kumar Pal, Alak Kumar Datta Hardness of crosstalk minimization in two-layer channel routing. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ons Lahiouel, Henda Aridhi, Mohamed H. Zaki, Sofiène Tahar Exploiting bounds optimization for the semi-formal verification of analog circuits. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Siraj Fulum Mossa, Syed Rafay Hasan, Omar S. Elkeelany Hardware trojans in 3-D ICs due to NBTI effects and countermeasure. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Cheng-Kok Koh Fast clock scheduling and an application to clock tree synthesis. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Subhamita Mukherjee, Indrajit Pan, Tuhina Samanta Pareto optimization technique in actuation control for error minimization and reliability analysis in an operational pin-constrained digital microfluidic biochip. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1972 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license