The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "VTS"( http://dblp.L3S.de/Venues/VTS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/vts

Publication years (Num. hits)
1991 (53) 1992 (60) 1993 (62) 1994 (70) 1995 (70) 1996 (81) 1997 (70) 1998 (70) 1999 (65) 2000 (66) 2001 (67) 2002 (74) 2003 (58) 2004 (52) 2005 (69) 2006 (87) 2007 (60) 2008 (55) 2009 (59) 2010 (82) 2011 (65) 2012 (50) 2013 (69) 2014 (67) 2015 (63) 2016 (59) 2017 (50) 2018 (50) 2019 (56) 2020 (44) 2021 (39) 2022 (48) 2023 (43)
Publication types (Num. hits)
inproceedings(2000) proceedings(33)
Venues (Conferences, Journals, ...)
VTS(2033)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1928 occurrences of 678 keywords

Results
Found 2033 publication records. Showing 2033 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Hideo Okawara Practical signal processing at mixed signal test venues - Trend removal, noise reduction, wideband signal capturing -. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jia Li 0022, Yu Huang, Dong Xiang Prediction of compression bound and optimization of compression architecture for linear decompression-based schemes. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Sreenivas Gangadhar, Spyros Tragoudas An analytical method for estimating SET propagation. Search on Bibsonomy VTS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Wing Chiu Tam, R. D. (Shawn) Blanton, Wojciech Maly Evaluating yield and testing impact of sub-wavelength lithography. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy Forming multi-cycle tests for delay faults by concatenating broadside tests. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tsu-Wei Tseng, Chih-Sheng Hou, Jin-Fu Li 0001 Automatic generation of memory built-in self-repair circuits in SOCs for minimizing test time and area cost. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Janine Chen, Jing Zeng, Li-C. Wang, Jeff Rearick, Michael Mateja Selecting the most relevant structural Fmax for system Fmax correlation. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kyriakos Christou, Maria K. Michael, Stelios Neophytou Identification of critical primitive path delay faults without any path enumeration. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michael Keating The roadblocks to broad adoption of high level synthesis. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nourredine Akkouche, Salvador Mir, Emmanuel Simeu Ordering of analog specification tests based on parametric defect level estimation. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Takahiro Hanyu Special session 8B: New topic MOS/MTJ-hybrid circuit with nonvolatile logic-in-memory architecture and its impact. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Takumi Uezono, Tomoyuki Takahashi, Michihiro Shintani, Kazumi Hatayama, Kazuya Masu, Hiroyuki Ochi, Takashi Sato Path clustering for adaptive test. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcello Coppola 3D self testing with Spidergon STNoC. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sreejit Chakravarty Special session 11C: Hot topic design consideration and silicon evaluation of on-chip monitors. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rubin A. Parekhji Innovative practices session 1C: Innovative practices in RF test. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Irith Pomeranz, Sudhakar M. Reddy On multiple bridging faults. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yiwen Shi, Wan-Chan Hu, Jennifer Dworak Too many faults, too little time on creating test sets for enhanced detection of highly critical faults and defects. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zhen Chen, Dong Xiang Low-capture-power at-speed testing using partial launch-on-capture test scheme. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Michail Maniatakos, Yiorgos Makris Workload-driven selective hardening of control state elements in modern microprocessors. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Saeed Shamshiri, Kwang-Ting Cheng Modeling yield, cost, and quality of an NoC with uniformly and non-uniformly distributed redundancy. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Xuan-Lun Huang, Jiun-Lang Huang An ADC/DAC loopback testing methodology by DAC output offsetting and scaling. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Arani Sinha Special session 8C: Panel EDA for analog DFT/ATPG - will SoC cost pressures make this a reality? Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Julio César Vázquez, Víctor H. Champac, Adriel Ziesemer, Ricardo Reis 0001, Isabel Maria Cacho Teixeira, Marcelino B. Santos, João Paulo Teixeira 0001 Low-sensitivity to process variations aging sensor for automotive safety-critical applications. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sandra Irobi, Zaid Al-Ars, Said Hamdioui Bit line coupling memory tests for single-cell fails in SRAMs. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Salvador Mir, Haralampos-G. D. Stratigopoulos, Ahcène Bounceur Density estimation for analog/RF test problem solving. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jose G. Mena, Richard Deken, James E. Coker, Mark S. Johnstone, Sergio R. Ramirez, Peter Frey High level synthesis of a Front End filter and DSP engine for analog to digital conversion - a case study. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Shyam Kumar Devarakond, Shreyas Sen, Soumendu Bhattacharya, Abhijit Chatterjee Concurrent process model and specification cause-effect monitoring using alternate diagnostic signatures. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Szu-Pang Mu, Mango Chia-Tso Chao Theoretical analysis for low-power test decompression using test-slice duplication. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kee Sup Kim Panel 12C: Apprentice - VTS edition judging session. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jupiter Hu Overview of flexible electronics from ITRI's viewpoint. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Viktor Froese, Rüdiger Ibers, Sybille Hellebrand Reusing NoC-infrastructure for test data compression. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Renan Alves Fonseca, Luigi Dilillo, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Nabil Badereddine Detecting NBTI induced failures in SRAM core-cells. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Bozena Kaminska, I. L. McWalter Special session 9B: New topic test facilities and infrastructure in Canada. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Fahad Ahmed, Linda Milor Reliable cache design with on-chip monitoring of NBTI degradation in SRAM cells using BIST. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zhaobo Zhang, Zhanglei Wang, Xinli Gu, Krishnendu Chakrabarty Board-level fault diagnosis using Bayesian inference. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kwang-Ting Cheng Innovative practices session 2C: Design, fabrication and test of flexible electronics. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kwang-Ting Cheng, Tsung-Ching Huang Design, analysis, and test of low-power and reliable flexible electronics. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ke Peng, Jason Thibodeau, Mahmut Yilmaz, Krishnendu Chakrabarty, Mohammad Tehranipoor A novel hybrid method for SDD pattern grading and selection. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Laung-Terng Wang, Nur A. Touba, Zhigang Jiang, Shianling Wu, Jiun-Lang Huang, James Chien-Mo Li CSER: BISER-based concurrent soft-error resilience. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Tomokazu Yoneda, Michiko Inoue, Yasuo Sato, Hideo Fujiwara Thermal-uniformity-aware X-filling to reduce temperature-induced delay variation for accurate at-speed testing. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zijian He, Tao Lv 0001, Huawei Li 0001, Xiaowei Li 0001 Fast path selection for testing of small delay defects considering path correlations. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Young Moon Kim, Tze Wee Chen, Yoshio Kameda, Masayuki Mizuno, Subhasish Mitra Gate-oxide early-life failure identification using delay shifts. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos Special session 8A: TTTC 2010 E. J. McCluskey Best Doctoral Thesis Award. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos Special session 12A: Panel adaptive analog test: Feasibility and opportunities ahead. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Po-Yuan Chen, Cheng-Wen Wu, Ding-Ming Kwai On-chip testing of blind and open-sleeve TSVs for 3D IC before bonding. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Abhijit Chatterjee, Friedrich Taenzler Low cost test and tuning of RF circuits and systems. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Haralampos-G. D. Stratigopoulos Special session 4C: Thesis research poster session. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1William S. Wong 0001 Fabrication and testing of large-area flexible electronics for displays and sensor arrays. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Nitin Yogi, Vishwani D. Agrawal Application of signal and noise theory to digital VLSI testing. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Adit D. Singh, Chao Han, Xi Qian An output compression scheme for handling X-states from over-clocked delay tests. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sarveswara Tammali Industrial practices of test cost reduction: Perspective, current design practices. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Cihan Tunc, Mehdi Baradaran Tahoori On-the-fly variation tolerant mapping in crossbar nano-architectures. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Karim Arabi Special session 6C: New topic mixed-signal test impact to SoC commercialization. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Jaeyong Chung, Joonsung Park, Jacob A. Abraham, Eonjo Byun, Cheol-Jong Woo Reducing test time and area overhead of an embedded memory array built-in repair analyzer with optimal repair rate. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yang Zhao 0001, Krishnendu Chakrabarty Pin-count-aware online testing of digital microfluidic biochips. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christopher J. Clark iMajik: Making 1149.1 TAPs disappear and reappear in SoCs and 3D packages. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Suriyaprakash Natarajan Innovative practices session 9C: Implications of power delivery network for validation and testing. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kee Sup Kim Panel 4A: Apprentice - VTS edition: Season 3. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sandip Ray, Jayanta Bhadra Innovative practices session 7C: Verification and testing challenges in high-level synthesis. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Ilia Polian Special session 4B: Panel low-power test and noise-aware test: Foes or friends? Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Chien-Chih Yu, John P. Hayes Scalable and accurate estimation of probabilistic behavior in sequential circuits. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Dongok Kim, Irith Pomeranz, M. Enamul Amyeen, Srikanth Venkataraman Defect diagnosis based on DFM guidelines. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mingjing Chen, Alex Orailoglu VDDmin test optimization for overscreening minimization through adaptive scan chain masking. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Elham K. Moghaddam, Janusz Rajski, Sudhakar M. Reddy, Mark Kassab At-speed scan test with low switching activity. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Amit Sabne, Rajesh Tiwari, Abhijeet Shrivastava, Srivaths Ravi 0001, Rubin A. Parekhji A generic low power scan chain wrapper for designs using scan compression. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Yanjing Li, Onur Mutlu, Donald S. Gardner, Subhasish Mitra Concurrent autonomous self-test for uncore components in system-on-chips. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mokhtar Hirech Test cost and test power conflicts: EDA perspective. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Rajesh Mittal, Adesh Sontakke, Rubin A. Parekhji Test time reduction using parallel RF test techniques. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Smriti Gupta Innovative practices session 5C: Post-silicon debug. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Karim Arabi Power noise and its impact on production test and validation of SoC devices. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Vishwanath Natarajan, Shreyas Sen, Shyam Kumar Devarakond, Abhijit Chatterjee A holistic approach to accurate tuning of RF systems for large and small multiparameter perturbations. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1K. Arnold Adaptive test delivers wide range of sophisticated test solutions. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Swarup Bhunia, Anand Raghunathan Special session 11B: Hot topic hardware security: Design, test and verification issues. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Hsiu-Ming Chang 0001, Kuan-Yu Lin, Kwang-Ting Cheng Calibration-assisted production testing for digitally-calibrated ADCs. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Marcelo Lubaszewski, Érika F. Cota Special session 12B: Embedded tutorial test and fault tolerance of networks-on-chip. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sean H. Wu, Sreejit Chakravarty, Li-C. Wang Impact of multiple input switching on delay test under process variation. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1D. Varma, D. Mackay, P. Thiruchelvam Easing the verification bottleneck using high level synthesis. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Zhen Chen, Sharad C. Seth, Dong Xiang A novel hybrid delay testing scheme with low test power, volume, and time. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sarveswara Tammali Innovative practices session 3C: Industrial practices of test cost reduction techniques: Impact and design tradeoffs. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Sandeep Bhatia Low power compression architecture. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1 28th IEEE VLSI Test Symposium, VTS 2010, April 19-22, 2010, Santa Cruz, California, USA Search on Bibsonomy VTS The full citation details ... 2010 DBLP  BibTeX  RDF
1Eli Chiprout Power delivery dynamics and its impact on silicon validation. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Mohamad A. Zeidan, Aritra Banerjee, Ranjit Gharpurey, Jacob A. Abraham Multitone digital signal based test for RF receivers. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Christian G. Zoellin, Hans-Joachim Wunderlich Low-power test planning for arbitrary at-speed delay-test clock schemes. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Erik Jan Marinissen, Jouke Verbree, Mario Konijnenburg A structured and scalable test access architecture for TSV-based 3D stacked ICs. Search on Bibsonomy VTS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
1Kun Young Chung, Sandeep K. Gupta 0001 Efficient Scheduling of Path Delay Tests for Latch-Based Circuits. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Keith A. Jenkins, Lionel Li A Scalable, Digital BIST Circuit for Measurement and Compensation of Static Phase Offset. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Joon-Sung Yang, Nur A. Touba Automated Selection of Signals to Observe for Efficient Silicon Debug. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Tao Lv 0001, Huawei Li 0001, Xiaowei Li 0001 Automatic Selection of Internal Observation Signals for Design Verification. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sandeep Kumar Goel, Narendra Devta-Prasanna, Ritesh P. Turakhia Effective and Efficient Test Pattern Generation for Small Delay Defect. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Abdul Wahid Hakmi, Stefan Holst, Hans-Joachim Wunderlich, Jürgen Schlöffel, Friedrich Hapke, Andreas Glowatz Restrict Encoding for Mixed-Mode BIST. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Pankaj Pant, Joshua Zelman Understanding Power Supply Droop during At-Speed Scan Testing. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Andrew Piziali Panel: Functional Verification Planning and Management - Are Good Intentions Good Enough? Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sunghoon Chun, YongJoon Kim, Taejin Kim, Sungho Kang 0001 A High-Level Signal Integrity Fault Model and Test Methodology for Long On-Chip Interconnections. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Bernard Courtois, Ali Shakouri Microscale and Nanoscale Thermal Characterization of Integrated Circuit Chips. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Yong-Jyun Hu, Yu-Jen Huang, Jin-Fu Li 0001 Modeling and Testing Comparison Faults of TCAMs with Asymmetric Cells. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1A. Hakan Baba, Subhasish Mitra Testing for Transistor Aging. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Nicolas Houarche, Mariane Comte, Michel Renovell, Alejandro Czutro, Piet Engelke, Ilia Polian, Bernd Becker 0001 An Electrical Model for the Fault Simulation of Small Delay Faults Caused by Crosstalk Aggravated Resistive Short Defects. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Meng-Jai Tasi, Mango Chia-Tso Chao, Jing-Yang Jou, Meng-Chen Wu Multiple-Fault Diagnosis Using Faulty-Region Identification. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
1Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi Soft-Error Hardening Designs of Nanoscale CMOS Latches. Search on Bibsonomy VTS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 2033 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license