The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for domino with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1964-1986 (16) 1987-1990 (15) 1991-1994 (17) 1995-1996 (21) 1997 (19) 1998 (17) 1999 (35) 2000 (36) 2001 (25) 2002 (43) 2003 (37) 2004 (44) 2005 (43) 2006 (44) 2007 (35) 2008 (27) 2009 (22) 2010 (16) 2011 (16) 2012 (15) 2013 (20) 2014-2015 (34) 2016-2017 (27) 2018 (28) 2019 (31) 2020 (23) 2021 (20) 2022 (40) 2023 (39) 2024 (8)
Publication types (Num. hits)
article(378) book(1) incollection(2) inproceedings(430) phdthesis(2)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 380 occurrences of 267 keywords

Results
Found 824 publication records. Showing 813 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Dong Sam Ha, Sudhakar M. Reddy On the Design of Testable Domino PLAs. Search on Bibsonomy ITC The full citation details ... 1985 DBLP  BibTeX  RDF
16Thomas Kreifelts DOMINO: Ein System zur Abwicklung arbeitsteiliger Vorgänge im Büro. Search on Bibsonomy Angew. Inform. The full citation details ... 1984 DBLP  BibTeX  RDF
16Bogdan S. Chlebus From domino tilings to a new model of computation. Search on Bibsonomy Symposium on Computation Theory The full citation details ... 1984 DBLP  DOI  BibTeX  RDF
16D. Briatico, Augusto Ciuffoletti, Luca Simoncini A Distributed Domino-Effect free recovery Algorithm. Search on Bibsonomy Symposium on Reliability in Distributed Software and Database Systems The full citation details ... 1984 DBLP  BibTeX  RDF
16Martin Fürer The computational complexity of the unconstrained limited domino problem (with implications for logical decision problems). Search on Bibsonomy Logic and Machines The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
16Heinz-Dieter Ebbinghaus Undecidability Of Some Domino Connectability Problems. Search on Bibsonomy Math. Log. Q. The full citation details ... 1982 DBLP  DOI  BibTeX  RDF
16David Klarner, Jordan Pollack Domino tilings of rectangles with fixed width. Search on Bibsonomy Discret. Math. The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
16David W. Straight Domino f-Sets. Search on Bibsonomy Math. Log. Q. The full citation details ... 1979 DBLP  DOI  BibTeX  RDF
16R. C. Grimson Enumeration of dimer (domino) configurations. Search on Bibsonomy Discret. Math. The full citation details ... 1977 DBLP  DOI  BibTeX  RDF
16Augustin A. Dubrulle An extension of the domain of the APL domino function to rank deficient linear least squares systems. Search on Bibsonomy APL The full citation details ... 1975 DBLP  DOI  BibTeX  RDF
16Michael A. Jenkins DOMINO: an APL primitive function for matrix inversion - - its implementation and applications. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1972 DBLP  DOI  BibTeX  RDF
11Sohan Purohit, Sai Rahul Chalamalasetti, Martin Margala Design of self correcting radiation hardened digital circuits using decoupled ground bus. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF self-repairing circuits, soft errors, radiation hardening
11Luciano Caroprese, Sergio Greco, Ester Zumpano Active Integrity Constraints for Database Consistency Maintenance. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Nicolas Ollinger Tiling the Plane with a Fixed Number of Polyominoes. Search on Bibsonomy LATA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11I-Hsin Chung, Seetharami R. Seelam, Bernd Mohr, Jesús Labarta Tools for scalable performance analysis on Petascale systems. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Sohan Purohit, Marco Lanuzza, Stefania Perri, Pasquale Corsonello, Martin Margala Design-Space Exploration of Energy-Delay-Area Efficient Coarse-Grain Reconfigurable Datapath. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Thomas Zimmermann 0001 Changes and bugs - Mining and predicting development activities. Search on Bibsonomy ICSM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Shingo Takeuchi, Kazuhiro Saitou Design for Product Embedded Disassembly. Search on Bibsonomy Evolutionary Computation in Practice The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design for disassembly, environmentally-conscious design, Operant behavior, multi-objective genetic algorithm, design optimization
11Mary Ellen Zurko, Andrew S. Patrick Panel: Usable Cryptography: Manifest Destiny or Oxymoron?. Search on Bibsonomy Financial Cryptography The full citation details ... 2008 DBLP  DOI  BibTeX  RDF User-centered security, cryptography
11Bruno Durand 0001, Andrei E. Romashchenko, Alexander Shen Fixed Point and Aperiodic Tilings. Search on Bibsonomy Developments in Language Theory The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jakob Leitner, Michael Haller, Kyungdahm Yun, Woontack Woo, Maki Sugimoto, Masahiko Inami IncreTable, a mixed reality tabletop game experience. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interaction design, tangible user interfaces, mixed reality, pervasive games, tabletop gaming
11Chin-Khai Tang, Chun-Yen Lin, Yi-Chang Lu An Asynchronous Circuit Design with Fast Forwarding Technique at Advanced Technology Node. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Asynchronous Circuit Design, Single Track, Dual-Rail, Fast Forwarding
11Erkki Laitila Symbolic Hybrid Programming Tool for Software Understanding. Search on Bibsonomy HAIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reverse engineering, Program comprehension, hybrid architecture
11Stephen Tong, Joseph Williams Are You Prepared for Daylight Saving Time 2007? Search on Bibsonomy IT Prof. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Nikhil Jayakumar, Sunil P. Khatri A Predictably Low-Leakage ASIC Design Style. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Annika Wolff, Paul Mulholland, Zdenek Zdráhal, Richard W. Joiner Combining gameplay and narrative techniques to enhance the user experience of viewing galleries. Search on Bibsonomy Comput. Entertain. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF child-friendly interface, content reuse, games, narrative
11Stan Kelly-Bootle The Code Delusion. Search on Bibsonomy ACM Queue The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Krishna Santhanam, Kenneth S. Stevens Dynamic gates with hysteresis and configurable noise tolerance. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Alessandro Ferrante, Aniello Murano Enriched µ-Calculi Module Checking. Search on Bibsonomy FoSSaCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Bruno Coutinho, Dorgival Olavo Guedes Neto, Wagner Meira Jr., Renato Ferreira 0001 Fault-tolerance in filter-labeled-stream applications. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jichiang Tsai 0001, Chia-Yang Chen, Ming-Fa Hu, Jenn-Wei Lin Self-Adjusting Indexing Techniques for Communication-Induced Checkpointing Protocols. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Jie Gu 0003, Sachin S. Sapatnekar, Chris H. Kim Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Stijn Heymans, Davy Van Nieuwenborgh, Dirk Vermeir Conceptual logic programs. Search on Bibsonomy Ann. Math. Artif. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mathematics Subject Classifications (2000) 68T27, 68N17, 68T30
11Johannes Grad, James E. Stine Dual-Mode High-Speed Low-Energy Binary Addition. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Antoine Dupret, Marius Vasiliu, Francis Devos Performance and power analysis on asynchronous reading of binary arrays. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Joo-Young Kim 0001, Kangmin Lee, Hoi-Jun Yoo A 372 ps 64-bit adder using fast pull-up logic in 0.18µm CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Y. Tsiatouhas, Angela Arapoyanni High fan-in differential current mirror logic. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Massimo Alioto, Gaetano Palumbo Delay uncertainty due to supply variations in static and dynamic full adders. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Peter J. Vidler, Michael J. Pont Computer Assisted Source-Code Parallelisation. Search on Bibsonomy ICCSA (5) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Giby Samson, Lawrence T. Clark Circuit architecture for low-power race-free programmable logic arrays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF circuit timing, low power, programmable logic arrays
11Palanichamy Manikandan, B. D. Liu, L. Y. Chiou, G. Sundar, Chittaranjan R. Mandal Asynchronous Design Methodology for an Efficient Implementation of Low power ALU. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 Design and analysis of spatial encoding circuits for peak power reduction in on-chip buses. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Natarajan Viswanathan, Chris C. N. Chu FastPlace: efficient analytical placement using cell shifting, iterative local refinement, and a hybrid net model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Joseph S. Miller, Lawrence S. Moss The Undecidability of Iterated Modal Relativization. Search on Bibsonomy Stud Logica The full citation details ... 2005 DBLP  DOI  BibTeX  RDF iterated relativization, modal logic, undecidability, Dynamic epistemic logic
11K. G. Subramanian 0001, A. Roslin Sagaya Mary, K. S. Dersanambika Splicing Array Grammar Systems. Search on Bibsonomy ICTAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Christoph Bobrowski, Georg Kreymann Knowledge Management in Internal Medicine Using Lotus Notus - A Knowledge Management Perspective. Search on Bibsonomy Wissensmanagement (LNCS Volume) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Suwen Yang, Brian D. Winters, Mark R. Greenstreet Energy Efficient Surfing. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Arkadiy Morgenshtein, Israel Cidon, Ran Ginosar, Avinoam Kolodny Low-leakage repeaters for NoC interconnects. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Srivathsan Krishnamohan, Nihar R. Mahapatra Increasing the energy efficiency of pipelined circuits via slack redistribution. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF slack passing, time borrowing, low-power design
11Jeetendra Kumar, Mehdi Baradaran Tahoori A Low Power Soft Error Suppression Technique for Dynamic Logic. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Jichiang Tsai 0001, Jenn-Wei Lin On the Fully-Informed Communication-Induced Checkpointing Protocol. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Tongchit Tantikul, D. Manivannan 0001 A Communication-Induced Checkpointing and Asynchronous Recovery Protocol for Mobile Computing Systems. Search on Bibsonomy PDCAT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault-tolerance, failure recovery, mobile computing system, Distributed checkpointing
11Bhaskar Chatterjee, Manoj Sachdev, Ali Keshavarzi DFT for Delay Fault Testing of High-Performance Digital Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Goce Trajcevski, Ouri Wolfson, Klaus H. Hinrichs, Sam Chamberlain Managing uncertainty in moving objects databases. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Moving Objects Databases
11Frederick T. Sheldon, Thomas E. Potok, Andy Loebl, Axel W. Krings, Paul W. Oman Managing Secure Survivable Critical Infrastructures to Avoid Vulnerabilities. Search on Bibsonomy HASE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Jean-Pierre Hubaux New Research Challenges for the Security of Ad Hoc and Sensor Networks. Search on Bibsonomy ESAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Annika Wolff, Paul Mulholland, Zdenek Zdráhal Scene-Driver: reusing broadcast animation content for engaging, narratively coherent games. Search on Bibsonomy AVI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF AI planning algorithms, child directed interface, interactive narrative, interface evaluation, visual interaction, animated interfaces
11Martin V. Butz, David E. Goldberg, Pier Luca Lanzi Bounding Learning Time in XCS. Search on Bibsonomy GECCO (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Annika Wolff, Paul Mulholland, Zdenek Zdráhal Scene-driver: a narrative-driven game architecture reusing broadcast animation content. Search on Bibsonomy Advances in Computer Entertainment Technology The full citation details ... 2004 DBLP  DOI  BibTeX  RDF broadcast content reuse, child-friendly interface, narrative
11Himanshu Kaul, Dennis Sylvester, Mark A. Anders 0001, Ram Krishnamurthy 0001 Spatial encoding circuit techniques for peak power reduction of on-chip high-performance buses. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Najwa Aaraj, Anis Nazer, Ali Chehab, Ayman I. Kayssi Transient Current Testing of Dynamic CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Ge Yang 0004, Zhongda Wang, Sung-Mo Kang Low Power and High Performance Circuit Techniques for High Fan-In Dynamic Gates. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Annika Wolff, Paul Mulholland, Zdenek Zdráhal, Richard W. Joiner Scene-Driver: An Interactive Narrative Environment Using Content from an Animated Children's Television Series. Search on Bibsonomy TIDSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
11Zhong Xiu, James D. Z. Ma, Suzanne M. Fowler, Rob A. Rutenbar Large-scale placement by grid-warping. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF algorithms, placement
11Lei Wang 0003, Naresh R. Shanbhag Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Michael A. Riepe, Karem A. Sakallah Transistor placement for noncomplementary digital VLSI cell synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Cell Synthesis, Euler graphs, noncomplementary circuits, sequence pair optimization, transistor chaining, transistor placement, digital circuits, benchmark circuits
11Naran Sirisantana, Kaushik Roy 0001 Selectively Clocked CMOS Logic Style for Low-Power Noise-Immune Operations in Scaled Technologies. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Tony F. Chan, Jason Cong, Tim Kong, Joseph R. Shinnerl, Kenton Sze An Enhanced Multilevel Algorithm for Circuit Placement. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Frank Grassert, Dirk Timmermann Dynamic single-rail self-timed logic structures for power efficient synchronous pipelined designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF redundant numbers, self-timed logic, single-rail logic, low power, dynamic logic
11Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Rajesh Kumar 0006 Interconnect and noise immunity design for the Pentium 4 processor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
11Massimo Alioto, Gaetano Palumbo Analysis and comparison on full adder block in submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Keerthi Heragu, Manish Sharma, Rahul Kundu, Ronald D. Blanton Test vector generation for charge sharing failures in dynamic logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Geun Rae Cho, Tom Chen 0001 On The Impact of Technology Scaling On Mixed PTL/Static Circuits. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Rouwaida Kanj, Elyse Rosenbaum A critical look at design guidelines for SOI logic gates. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Mohab Anis, Mohamed I. Elmasry Self-timed MOS current mode logic for digital applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11G. R. Chaji, Seid Mehdi Fakhraie, Kenneth Carless Smith Pseudo dynamic logic (SDL): a high-speed and low-power dynamic logic family. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Sreejit Chakravarty, Ankur Jain Fault Models for Speed Failures Caused by Bridges and Opens. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Jichiang Tsai 0001, Jenn-Wei Lin On Characteristics of DEF Communication-Induced Checkpointing Protocols. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Goce Trajcevski, Ouri Wolfson, Fengli Zhang, Sam Chamberlain The Geometry of Uncertainty in Moving Objects Databases. Search on Bibsonomy EDBT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Guohong Cao, Mukesh Singhal Mutable Checkpoints: A New Checkpointing Approach for Mobile Computing Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Mobile computing, nonblocking, causal dependency, coordinated checkpointing
11Chris J. Myers, Wendy Belluomini, Kip Kallpack, Eric Peskin, Hao Zheng 0001 Timed circuits: a new paradigm for high-speed design. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Abdoul Rjoub, M. Alrousan, Omar M. Al-Jarrah, Odysseas G. Koufopavlou Multi-level low swing voltage values for low power design applications. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Keerthi Heragu, Manish Sharma, Rahul Kundu, R. D. (Shawn) Blanton Testing of Dynamic Logic Circuits Based on Charge Sharing. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Kenneth Y. Yun, Kevin W. James, Robert H. Fairlie-Cuninghame, Supratik Chakraborty, Rene L. Cruz A self-timed real-time sorting network. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Ki-Wook Kim, Seong-Ook Jung, Unni Narayanan, C. L. Liu 0001, Sung-Mo Kang Noise-aware power optimization for on-chip interconnect. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Marcin Jurdzinski, Mogens Nielsen Hereditary History Preserving Bisimilarity Is Undecidable. Search on Bibsonomy STACS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Madhuban Kishor, José Pineda de Gyvez Threshold Voltage and Power-Supply Tolerance of CMOS Logic Design Families. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Gin Yee, Tyler Thorp, Ron Christopherson, Ban P. Wang, Carl Sechen An Automated Shielding Algorithm and Tool For Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
11Lorenzo Alvisi, E. N. Elnozahy, Sriram Rao, Syed Amir Husain, Asanka De Mel An Analysis of Communication Induced Checkpointing. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Performance Evaluation, MPI, Checkpointing, Rollback Recovery, Consistent Global States
11Tyler Thorp, Gin Yee, Carl Sechen Design and Synthesis of Monotonic Circuits. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Lei Wang 0003, Naresh R. Shanbhag Noise-tolerant dynamic circuit design. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
11Richard B. Brown, Bruce Bernhardt, M. LaMacchia, J. Abrokwah, Phiroze N. Parakh, Todd D. Basso, Spencer M. Gold, S. Stetson, Claude R. Gauthier, D. Foster, B. Crawforth, T. McQuire, Karem A. Sakallah, Ronald J. Lomax, Trevor N. Mudge Overview of complementary GaAs technology for high-speed VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Guohong Cao, Mukesh Singhal On Coordinated Checkpointing in Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF consistent checkpoints, Distributed system, nonblocking, causal dependence, coordinated checkpointing
11Christopher H. Young, Nael B. Abu-Ghazaleh, Philip A. Wilsey OFC: A Distributed Fossil-Collection Algorithm for Time-Warp. Search on Bibsonomy DISC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Petra Schubert, Dorian Selz Organizational Design of an IT-Based Knowledge System: The NetAcademy Concept. Search on Bibsonomy HICSS (2) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
11Subbarayan Venkatesan, Tong-Ying Tony Juang, Sridhar Alagar Optimistic Crash Recovery without Changing Application Messages. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fail-stop failures, optimistic message logging, distributed algorithms, time complexity, message complexity, Crash recovery
11Hajo Broersma, Elias Dahlhaus, Ton Kloks Algorithms for the Treewidth and Minimum Fill-in of HHD-Free Graphs. Search on Bibsonomy WG The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MSC 68R10
11Martin Benes 0002, Andrew Wolfe, Steven M. Nowick A High-Speed Asynchronous Decompression Circuit for Embedded Processors. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
11Moazzem Hossain, Bala Thumma, Sunil Ashtaputre A New Faster Algorithm for Iterative Placement Improvement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 813 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license