The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for floorplanning with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1989 (17) 1990-1991 (17) 1992-1995 (26) 1996-1998 (26) 1999 (20) 2000 (30) 2001 (22) 2002 (29) 2003 (38) 2004 (53) 2005 (61) 2006 (68) 2007 (59) 2008 (40) 2009 (33) 2010 (25) 2011 (35) 2012 (18) 2013 (26) 2014 (22) 2015 (18) 2016-2017 (28) 2018-2019 (17) 2020-2021 (17) 2022-2023 (34) 2024 (5)
Publication types (Num. hits)
article(264) incollection(3) inproceedings(512) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 471 occurrences of 218 keywords

Results
Found 784 publication records. Showing 784 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
10Sanjiv Taneja DFT Aware Layout - Layout Aware DFT. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Gi-Joon Nam, Charles J. Alpert, Paul Villarrubia, Bruce Winter, Mehmet Can Yildiz The ISPD2005 placement contest and benchmark suite. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF VLSI placement, benchmarks, physical design
10Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 mPL6: a robust multilevel mixed-size placement engine. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization
10Peng Li 0001, Yangdong Deng, Lawrence T. Pileggi Temperature-Dependent Optimization of Cache Leakage Power Dissipation. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Mongkol Ekpanyapong, Chinnakrishnan S. Ballapuram, Sung Kyu Lim, Hsien-Hsin S. Lee Wire-driven microarchitectural design space exploration. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Hongjie Bai, Sheqin Dong, Xianlong Hong, Song Chen 0001 A New Buffer Planning Algorithm Based on Room Resizing. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10S. A. Moghaddam, Nasser Masoumi, Caro Lucas A Stochastic Power-Supply Noise Reduction Technique Using Max-Flow Algorithm and Decoupling Capacitance. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
10Sudeep Pasricha, Nikil D. Dutt, Elaheh Bozorgzadeh, Mohamed Ben-Romdhane Floorplan-aware automated synthesis of bus-based communication architectures. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF systems-on-chip, communication synthesis
10Zhenyu (Peter) Gu, Jia Wang 0003, Robert P. Dick, Hai Zhou 0001 Incremental exploration of the combined physical and behavioral design space. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high-level synthesis, floorplan, incremental
10Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Stelian Alupoaei, Srinivas Katkoori Net Clustering Based Constructive and Iterative Improvement Approaches for Macro-Cell Placement. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net clustering, macro-cell placement, cluster growth, wirelength optimization, simulated annealing
10Idris Kaya, Silke Salewski, Markus Olbrich, Erich Barke Wirelength Reduction Using 3-D Physical Design. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Thomas Brandtner, Robert Weigel SubCALM: A Program for Hierarchical Substrate Coupling Simulation on Floorplan Level. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Song Chen 0001, Xianlong Hong, Sheqin Dong, Yuchun Ma, Yici Cai, Chung-Kuan Cheng, Jun Gu A buffer planning algorithm with congestion optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Yuchun Ma, Xianlong Hong, Sheqin Dong, Song Chen 0001, Yici Cai, Chung-Kuan Cheng, Jun Gu Buffer allocation algorithm with consideration of routing congestion. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Monica Donno, Enrico Macii, Luca Mazzoni Power-aware clock tree planning. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF clock tree synthesis and routing, physical design and optimization, low-power design, digital design
10Md. Saidur Rahman 0001, Kazuyuki Miura, Takao Nishizeki Octagonal Drawings of Plane Graphs with Prescribed Face Areas. Search on Bibsonomy WG The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, Jiang Hu, Sachin S. Sapatnekar, Cliff C. N. Sze Accurate estimation of global buffer delay within a floorplan. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Ruiming Chen, Hai Zhou 0001 A Flexible Data Structure for Efficient Buffer Insertion. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Madhubanti Mukherjee, Ranga Vemuri Simultaneous Scheduling, Binding and Layer Assignment for Synthesis of Vertically Integrated 3D Systems. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10P. R. Suresh, P. K. Sundararajan, Anshuli Goel, H. Udayakumar, C. Srinivasan, Vasudev Sinari, Raghavendrakumar Ravinutala Package-silicon co-design - Experiment with an SOC design. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
10Srinivasan Murali, Giovanni De Micheli SUNMAP: a tool for automatic topology selection and generation for NoCs. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF systems on chip, mapping, networks on chip, topology, SystemC
10David S. Kung 0001 Timing closure for low-FO4 microprocessor design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FO4, synthesis, placement, high performance
10Frank Schmiedle, Rolf Drechsler, Bernd Becker 0001 Exact Routing with Search Space Reduction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forced cells, MDDs, Detailed routing, fixed point iteration
10Zion Cien Shen, Chris C. N. Chu Bounds on the number of slicing, mosaic, and general floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Evangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen Twin binary sequences: a nonredundant representation for general nonslicing floorplan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Bo Yao, Hongyu Chen, Chung-Kuan Cheng, Ronald L. Graham Floorplan representations: Complexity and connections. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Baxter permutation, Floorplan representation, O-tree, mosaic floorplan, number of combinations, twin binary trees
10Giacinto Paolo Saggese, Antonino Mazzeo, Nicola Mazzocca, Antonio G. M. Strollo An FPGA-Based Performance Analysis of the Unrolling, Tiling, and Pipelining of the AES Algorithm. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Scott Hauck APHYDS: The Academic Physical Design Skeleton. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jyh Perng Fang, Sao-Jie Chen Tile-graph-based power planning. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Enrico Macii, Massimo Poncino, Sabino Salerno Combining wire swapping and spacing for low-power deep-submicron buses. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low-power design, physical design, crosstalk, bus encoding
10Bharat Krishna, C. Y. Roger Chen, Naresh Sehgal A novel ultra-fast heuristic for VLSI CAD steiner trees. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, steiner trees, interconnect estimation
10Franco Stellari, Peilin Song, Moyra K. McManus, Robert Gauthier 0002, Alan J. Weger, Kiran V. Chatty, Mujahid Muhammad, Pia N. Sanda Optical and Electrical Testing of Latchup in I/O Interface Circuits. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Jason Cong, Ashok Jagannathan, Glenn Reinman, Michail Romesis Microarchitecture evaluation with physical planning. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF microarchitecture evaluation, physical planning
10Jai-Ming Lin, Hsin-Lung Chen, Yao-Wen Chang Arbitrarily shaped rectilinear module placement using the transitive closure graph representation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Geert Van der Plas, Jan Vandenbussche, Georges G. E. Gielen, Willy M. C. Sansen A layout synthesis methodology for array-type analog blocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Russell Tessier Fast placement approaches for FPGAs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, synthesis, layout, Computer-aided design of VLSI
10Changwen Zhuang, Yoji Kajitani, Keishi Sakanushi, Liyan Jin An Enhanced Q-Sequence Augmented with Empty-Room-Insertion and Parenthesis Trees. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Juan de Vicente, Juan Lanchares, Román Hermida FPGA Placement by Thermodynamic Combinatorial Optimization. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Chunhong Chen Physical design with multiple on-chip voltages. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Evangeline F. Y. Young, Chris C. N. Chu, Zion Cien Shen Twin binary sequences: a non-redundant representation for general non-slicing floorplan. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Jason Cong Timing closure based on physical hierarchy. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF interconnect planning, logic hierarchy, physical hierarchy, retiming and pipelining, sequential arrival time, interconnect optimization, timing closure, multilevel optimization
10Kuen Hung Tsoi, Kin-Hong Lee, Philip Heng Wai Leong A Massively Parallel RC4 Key Search Engine. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Steven L. Teig The X architecture: not your father's diagonal wiring. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Silke Salewski, Erich Barke An Upper Bound for 3D Slicing Floorplans. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Evangeline F. Y. Young, Chris C. N. Chu, W. S. Luk, Y. C. Wong Handling soft modules in general nonslicing floorplan usingLagrangian relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang On extending slicing floorplan to handle L/T-shaped modules andabutment constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Charles J. Alpert, Anirudh Devgan, Chandramouli V. Kashyap RC delay metrics for performance optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Probir Sarkar, Cheng-Kok Koh Routability-driven repeater block planning for interconnect-centricfloorplanning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Chris C. N. Chu, D. F. Wong 0001 Closed form solutions to simultaneous buffer insertion/sizing and wire sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF buffer insertion, buffer sizing, closed form solution, interconnect optimization, wire sizing
10Shuo Zhou, Sheqin Dong, Chung-Kuan Cheng, Jun Gu ECBL: an extended corner block list with solution space including optimum placement. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Rodolfo Castelló, Rym Mili, Ioannis G. Tollis ViSta. Search on Bibsonomy GD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Josef Schmid, Timo Schüring, Christoph Smalla Using the Boundary Scan Delay Chain for Cross-Chip Delay Measurement and Characterization of Delay Modeling Flow. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
10Dirk Stroobandt, Peter Verplaetse, Jan M. Van Campenhout Generating synthetic benchmark circuits for evaluating CAD tools. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Rodolfo Castelló, Rym Mili, Ioannis G. Tollis An Algorithmic Framework for Visualizing Statecharts. Search on Bibsonomy GD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Kia Bazargan, Abhishek Ranjan, Majid Sarrafzadeh Fast and accurate estimation of floorplans in logic/high-level synthesis. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Erik A. McShane, Krishna Shenai Correct-by-Design CAD Enhancement for EMI Signal Integrity. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Helvio P. Peixoto, Margarida F. Jacome, Ander Royo A Tight Area Upper Bound for Slicing Floorplans. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Early area estimation, slicing floorplan, system level design
10Sidney E. Benda Physical Design of CMOS Chips in Six Easy Steps. Search on Bibsonomy SOFSEM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10David M. Brooks, Vivek Tiwari, Margaret Martonosi Wattch: a framework for architectural-level power analysis and optimizations. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10William J. Dally, Andrew Chang 0001 The role of custom design in ASIC Chips. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
10Andrew E. Caldwell, Andrew B. Kahng, Stefanus Mantik, Igor L. Markov, Alexander Zelikovsky On wirelength estimations for row-based placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Amir H. Salek, Jinan Lou, Massoud Pedram An integrated logical and physical design flow for deep submicron circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Evangeline F. Y. Young, Martin D. F. Wong, Hannah Honghua Yang Slicing floorplans with boundary constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Fung Yu Young, D. F. Wong 0001 Slicing Floorplans with Boundary Constraint. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jason Cong, David Zhigang Pan Interconnect Delay Estimation Models for Synthesis and Design Planning. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Jim E. Crenshaw, Majid Sarrafzadeh, Prithviraj Banerjee, Pradeep Prabhakaran An Incremental Floorplanner. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
10Xiao Quan Li, Marwan A. Jabri Machine learning-based VLSI cells shape function estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Jörn Stohmann, Klaus Harbich, Markus Olbrich, Erich Barke An Optimized Design Flow for Fast FPGA-Based Rapid Prototyping. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Andrew B. Kahng Futures for partitioning in physical design (tutorial). Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
10Ajay J. Daga, Peter Suaris Interface Timing Verification Drives System Design. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
10Joseph L. Ganley, James P. Cohoon Rectilinear Steiner trees on a checkerboard. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF full-set decomposition, routing, exact algorithms, rectilinear Steiner tree
10Parthasarathi Dasgupta, Anup K. Sen, Subhas C. Nandy, Bhargab B. Bhattacharya Geometric bipartitioning problem and its applications to VLSI. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF geometric bipartitioning problem, layout design, rectilinear modules, staircase, monotone increasing, classical graph bisection problem, weighted permutation graph, integer edge weights, designated nodes, absolute value, edge weights, routing, computational complexity, VLSI, VLSI, graph theory, NP-complete, branch-and-bound, floorplan, heuristic algorithm, search problems, geometry, network routing, circuit layout CAD, hierarchical decomposition
10Peichen Pan, C. L. Liu 0001 Area minimization for floorplans. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Lung-Tien Liu, Ming-Ter Kuo, Chung-Kuan Cheng, T. C. Hu A replication cut for two-way partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
10Minjoong Rim, Ashutosh Mujumdar, Rajiv Jain, Renato De Leone Optimal and heuristic algorithms for solving the binding problem. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Panagiotis Takis Metaxas, Grammati E. Pantziou, Antonios Symvonis Parallel h-v Drawings of Binary Trees. Search on Bibsonomy ISAAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
10Youssef Saab, Vasant B. Rao Fast effective heuristics for the graph bisectioning problem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Christian Masson, Denis Barbier, Remy Escassut, Daniel Winer, Gregory Chevallier, Pierre François Zeegers CHEOPS: an integrated VLSI floor planning and chip assembly system implemented in object oriented LISP. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Noritake Yonezawa, Nobuyuki Nishiguchi, Atsushi Etani, Fumiaki Tsukuda, Ryuichi Hashishita A VLSI floorplanner based on "balloon" expansion. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
10Takeshi Tokuda, Jiro Korematsu, Yukihiko Shimazu, Narumi Sakashita, Tohru Kengaku, Toshiki Fugiyama, Takio Ohno, Osamu Tomisawa A macrocell approach for VLSI processor design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
10Yen-Tai Lai, Sany M. Leinwand Algorithms for floorplan design via rectangular dualization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
10Marwan A. Jabri Automatic Building of Graphs for Rectangular Dualisation. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
10Wayne H. Wolf An object-oriented, procedural database for VLSI chip planning. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #784 of 784 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license