The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for instruction with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1963 (15) 1964-1967 (16) 1968 (15) 1969-1972 (22) 1973-1974 (38) 1975-1976 (32) 1977 (19) 1978 (20) 1979 (19) 1980 (21) 1981 (18) 1982 (45) 1983 (24) 1984 (35) 1985 (26) 1986 (38) 1987 (67) 1988 (92) 1989 (90) 1990 (104) 1991 (94) 1992 (99) 1993 (111) 1994 (136) 1995 (151) 1996 (247) 1997 (229) 1998 (225) 1999 (286) 2000 (344) 2001 (337) 2002 (403) 2003 (497) 2004 (547) 2005 (603) 2006 (715) 2007 (639) 2008 (722) 2009 (452) 2010 (273) 2011 (212) 2012 (228) 2013 (213) 2014 (214) 2015 (199) 2016 (210) 2017 (208) 2018 (222) 2019 (203) 2020 (236) 2021 (273) 2022 (286) 2023 (641) 2024 (231)
Publication types (Num. hits)
article(3426) book(12) incollection(148) inproceedings(7725) phdthesis(130) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(690) MICRO(269) ISCA(208) IEEE Trans. Computers(179) SIGCSE(165) DATE(159) DAC(133) ICCD(118) IEEE Trans. Very Large Scale I...(107) Innovative Techniques in Instr...(104) Comput. Educ.(99) HPCA(94) ASPLOS(88) ASAP(86) CASES(86) ICALT(81) More (+10 of total 2027)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8210 occurrences of 3021 keywords

Results
Found 11442 publication records. Showing 11442 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
21Mehrdad Reshadi, Bita Gorjiara, Daniel D. Gajski Utilizing Horizontal and Vertical Parallelism with a No-Instruction-Set Compiler for Custom Datapaths. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Wu-An Kuo, TingTing Hwang, Allen C.-H. Wu A power-driven multiplication instruction-set design method for ASIPs. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Nikolaos Kavvadias, Spiridon Nikolaidis 0001 Automated Instruction-Set Extension of Embedded Processors with Application to MPEG-4 Video Encoding. Search on Bibsonomy ASAP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Dipankar Das 0002, Rajeev Kumar 0004, P. P. Chakrabarti 0001 Dictionary Based Code Compression for Variable Length Instruction Encodings. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Jean-Pierre Georgé, Marie-Pierre Gleizes, Pierre Glize Basic Approach to Emergent Programming: Feasibility Study for Engineering Adaptive Systems Using Self-organizing Instruction-Agents. Search on Bibsonomy Engineering Self-Organising Systems The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Peter Petrov, Alex Orailoglu Low-power instruction bus encoding for embedded processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Reducing instruction cache energy consumption using a compiler-based strategy. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compiler optimizations, Leakage power, cache design
21Nathan Clark, Manjunath Kudlur, Hyunchul Park 0001, Scott A. Mahlke, Krisztián Flautner Application-Specific Processing on a General-Purpose Core via Transparent Instruction Set Customization. Search on Bibsonomy MICRO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Joseph J. Sharkey, Dmitry Ponomarev 0001, Kanad Ghose, Oguz Ergin Reducing Delay and Power Consumption of the Wakeup Logic Through Instruction Packing and Tag Memoization. Search on Bibsonomy PACS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Jia Chen, Shengyuan Wang, Yuan Dong, Guilan Dai, Yang Yang A Functionality Based Instruction Level Software Power Estimation Model for Embedded RISC Processors. Search on Bibsonomy ICESS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Duckki Kim, Youngsong Mun An Experiment and Design of Web-Based Instruction Model for Collaboration Learning. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Kenneth W. Batcher, Robert A. Walker 0001 Cluster miss prediction for instruction caches in embedded networking applications. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF compulsory cache misses, hiding memory latency, embedded systems, networking, WCET, cache design, cache prefetch
21David Jackson 0001 Automatic Synthesis of Instruction Decode Logic by Genetic Programming. Search on Bibsonomy EuroGP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
21Elena Gabriela Barrantes, David H. Ackley, Trek S. Palmer, Darko Stefanovic, Dino Dai Zovi Randomized instruction set emulation to disrupt binary code injection attacks. Search on Bibsonomy CCS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automated diversity, language randomization, security, emulation, information hiding, obfuscation
21Jie S. Hu, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Using Dynamic Branch Behavior for Power-Efficient Instruction Fetch. Search on Bibsonomy ISVLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jürgen Schnerr, Gunter Haug, Wolfgang Rosenstiel Instruction Set Emulation for Rapid Prototyping of SoCs . Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Vikram S. Adve, Chris Lattner, Michael Brukman, Anand Shukla, Brian Gaeke LLVA: A Low-level Virtual Instruction Set Architecture. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Jie S. Hu, A. Nadgir, Narayanan Vijaykrishnan, Mary Jane Irwin, Mahmut T. Kandemir Exploiting program hotspots and code sequentiality for instruction cache leakage management. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF leakage power, cache design
21Christian Panis, Raimund Leitner, Herbert Grünbacher, Jari Nurmi xLIW - a scaleable long instruction word. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Toshinori Sato Exploiting Instruction Redundancy for Transient Fault Tolerance. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Wei Zhang 0002, Jie S. Hu, Vijay Degalahal, Mahmut T. Kandemir, Narayanan Vijaykrishnan, Mary Jane Irwin Compiler-directed instruction cache leakage optimization. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Shay Ping Seng, Wayne Luk, Peter Y. K. Cheung Run-Time Adaptive Flexible Instruction Processors. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Jean-Luc Gaudiot Parallel Computer Architecture and Instruction-Level Parallelism. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Bengu Li, Rajiv Gupta 0001 Bit section instruction set extension of ARM for embedded applications. Search on Bibsonomy CASES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bit section operations, multimedia data, network processing
21Adeel Abbas, Arslan Ahmed, Affan Ahmed, Waheed Uz Zaman Bajwa, Ahtasham Anwar, Sohail Abbasi A retargetable tool-suite for the design of application specific instruction set processors using a machine description language. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Francisco Rodríguez 0003, José Carlos Campelo, Juan José Serrano A Memory Overhead valuation of the Interleaved Signature Instruction Stream. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Martin Bravenboer, Eelco Visser Rewriting Strategies for Instruction Selection. Search on Bibsonomy RTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
21Francisco Barat, Murali Jayapala, Pieter Op de Beeck, Geert Deconinck Software Pipelining for Coarse-Grained Reconfigurable Instruction Set Processors. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coarse grained logic, code generation, software pipelining, vliw, reconfigurable processor, spatial computation
21Pierre Michaud, André Seznec Data-Flow Prescheduling for Large Instruction Windows in Out-of-Order Processors. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Soner Önder, Rajiv Gupta 0001 Instruction Wake-Up in Wide Issue Superscalars. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Apan Qasem, David B. Whalley, Xin Yuan 0001, Robert van Engelen Using a Swap Instruction to Coalesce Loads and Stores. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Juan E. Gilbert, Dale-Marie Wilson Domain Instruction Server (DIS). Search on Bibsonomy ICALT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
21Mike J. G. Lewis, L. E. M. Brackenbury An Instruction Buffer for a Low-Power DSP. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria Instruction-level power estimation for embedded VLIW cores. Search on Bibsonomy CODES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Chingren Lee, Jenq Kuen Lee, TingTing Hwang, Shi-Chun Tsai Compiler Optimization on Instruction Scheduling for Low Power. Search on Bibsonomy ISSS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Neophytos G. Michael, Andrew W. Appel Machine Instruction Syntax and Semantics in Higher Order Logic. Search on Bibsonomy CADE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Kent D. Wilken, Jack Liu, Mark Heffernan Optimal instruction scheduling using integer programming. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Sorin Cotofana, Ben H. H. Juurlink, Stamatis Vassiliadis Counter Based Superscalar Instruction Issuing. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Yul Chu, Mabo Robert Ito A 2-Way Thrashing-Avoidance Cache (TAC): An Efficient Instruction Cache Scheme for Object-Oriented Languages. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto An instruction-level functionally-based energy estimation model for 32-bits microprocessors. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Mladen Berekovic, Hans-Joachim Stolberg, Mark Bernd Kulaczewski, Peter Pirsch, Henning Möller, Holger Runge, Johannes Kneip, Benno Stabernack Instruction Set Extensions for MPEG-4 Video. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Chaitali Chakrabarti, Dinesh Gaitonde Instruction level power model of microcontrollers. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Raminder Singh Bajwa, Mitsuru Hiraki, Hirotsugu Kojima, Douglas J. Gorny, Ken-ichi Nitta, Avadhani Shridhar, Koichi Seki, Katsuro Sasaki Instruction buffering to reduce power in processors for signal processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
21Michael J. Wirthlin, Brad L. Hutchings A dynamic instruction set computer. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Jack L. Lo, Susan J. Eggers Improving Balanced Scheduling with Compiler Optimizations that Increase Instruction-Level Parallelism. Search on Bibsonomy PLDI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Dennis Lee 0001, Jean-Loup Baer, Brad Calder, Dirk Grunwald Instruction Cache Fetch Policies for Speculative Execution. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF C++
21Thomas M. Conte, Kishore N. Menezes, Patrick M. Mills, Burzin A. Patel Optimization of Instruction Fetch Mechanisms for High Issue Rates. Search on Bibsonomy ISCA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Phillip J. Windley Specifying Instruction-Set Architectures in HOL: A Primer. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Uma Mahadevan, Sridhar Ramakrishnan Instruction Schedulimg over Regions: A Framework for Scheduling Across Basic Blocks. Search on Bibsonomy CC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
21Robert F. Cmelik, David Keppel Shade: A Fast Instruction-Set Simulator for Execution Profiling. Search on Bibsonomy SIGMETRICS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF SPARC
21M. Anton Ertl, Andreas Krall Optimal Instruction Scheduling using Constraint Logic Programming. Search on Bibsonomy PLILP The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
21Peter Steenkiste The Impact of Code Density on Instruction Cache Performance. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Robert Cohn, Thomas R. Gross, Monica Lam 0001, P. S. Tseng Architecture and Compiler Tradeoffs for a Long Instruction Word Microprocessor. Search on Bibsonomy ASPLOS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
21Adrian Vrouwenvelder, Keith R. Allen, Roy P. Pargas Translating systolic arrays into instruction systolic arrays. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1988 DBLP  DOI  BibTeX  RDF SAGE
21Douglas W. Clark, Henry M. Levy Measurement and analysis of instruction use in the VAX-11/780. Search on Bibsonomy ISCA The full citation details ... 1982 DBLP  BibTeX  RDF VAX
21Richard E. Sweet, James G. Sandman Jr. Empirical Analysis of the Mesa Instruction Set. Search on Bibsonomy ASPLOS The full citation details ... 1982 DBLP  DOI  BibTeX  RDF MESA
21John Nations, Stanley Y. W. Su Some DML Instruction Sequences for Application Program Analysis and Conversion. Search on Bibsonomy SIGMOD Conference The full citation details ... 1978 DBLP  DOI  BibTeX  RDF application program conversion, database conversion, program analysis and synthesis, database management, data manipulation language, schema change
21Catherine P. Breen Computer-assisted instruction in industry. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1974 DBLP  DOI  BibTeX  RDF
21Michael E. Tarter, T. S. Hauser, Raymond L. Holcomb Evaluation and development techniques for computer assisted instruction programs. Search on Bibsonomy AFIPS Spring Joint Computing Conference The full citation details ... 1968 DBLP  DOI  BibTeX  RDF
21Jared Freeman, Webb Stacy, Jean MacMillan, Georgiy Levchuk Capturing and Building Expertise in Virtual Worlds. Search on Bibsonomy HCI (16) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Adaptive instruction, knowledge engineering, Markov Decision Process, Constraint Logic Programming
21Hovav Shacham The geometry of innocent flesh on the bone: return-into-libc without function calls (on the x86). Search on Bibsonomy CCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF return-into-libc, instruction set, turing completeness
21Stefan Tillich, Christoph Herbst, Stefan Mangard Protecting AES Software Implementations on 32-Bit Processors Against Power Analysis. Search on Bibsonomy ACNS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF software countermeasures, implementation security, Advanced Encryption Standard, side-channel attacks, DPA, power analysis, instruction set extensions
21Tay-Jyi Lin, Chie-Min Chao, Chia-Hsien Liu, Pi-Chen Hsiao, Shin-Kai Chen, Li-Chun Lin, Chih-Wei Liu, Chein-Wei Jen A unified processor architecture for RISC & VLIW DSP. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dual-core processor, register organization, variable-length instruction encoding, digital signal processor
21Eunhee Choi, Woochun Jun, Suk-Ki Hong, Young-Cheol Bang An Interaction Model for Web-Based Learning: Cooperative Project. Search on Bibsonomy ICCSA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Interaction, Web-based Instruction
21Wlodzimierz M. Zuberek Modeling and Analysis of Dual Block Multithreading. Search on Bibsonomy FORTE Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF instruction issuing, event–driven simulation, performance analysis, timed Petri nets, pipelined processors, Block multithreading
21Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
21Murali Annavaram, Jignesh M. Patel, Edward S. Davidson Call graph prefetching for database applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction cache prefetching, database, call graph
21Mark G. Arnold A VLIW Architecture for Logarithmic Arithmetic. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Very Long Instruction Word, sum of products, pipeline, Logarithmic Number System
21Rajiv A. Ravindran, Robert M. Senger, Eric D. Marsman, Ganesh S. Dasika, Matthew R. Guthaus, Scott A. Mahlke, Richard B. Brown Increasing the number of effective registers in a low-power processor using a windowed register file. Search on Bibsonomy CASES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF window assignment, low-power, graph partitioning, embedded processor, instruction encoding, register window
21Matt Duckham, Lars Kulik "Simplest" Paths: Automated Route Selection for Navigation. Search on Bibsonomy COSIT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF instruction complexity, Navigation, shortest path, wayfinding, route selection
21Sang Jeong Lee, Pen-Chung Yew On Augmenting Trace Cache for High-Bandwidth Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, data dependences, Value prediction, trace cache, dynamic classification
21Sebastian Unger, Frank Mueller 0001 Handling irreducible loops: optimized node splitting versus DJ-graphs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF irreducible flowgraphs, reducible flowgraphs, compilation, instruction-level parallelism, Code optimization, loops, control flow graphs, node splitting
21Sunghyun Jee, Kannappan Palaniappan Performance evaluation for a compressed-VLIW processor. Search on Bibsonomy SAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CVLIW processor, individual instruction scheduling, VLIW, ILP
21Sangyeun Cho, Pen-Chung Yew, Gyungho Lee A High-Bandwidth Memory Pipeline for Wide Issue Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Data bandwidth, runtime stack, data stream partitioning, multiported data cache, instruction level parallelism, data locality
21Stéphan Jourdan, Lihu Rappoport, Yoav Almog, Mattan Erez, Adi Yoaz, Ronny Ronen eXtended Block Cache. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fetch bandwidth, instruction cache, trace cache, Front-end
21Nicola Zingirian, Massimo Maresca Run-Time Support to Register Allocation for Loop Parallelization of Image Processing Programs. Search on Bibsonomy HPCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Dynamic Register Renaming, Image Processing, Instruction Level Parallelism, Register Allocation, Loop Parallelization
21Toshinori Sato, Itsujiro Arita Table size reduction for data value predictors by exploiting narrow width values. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF narrow width operands, instruction level parallelism, hardware implementation, value prediction, data speculation
21Kai Wang, Manoj Franklin Highly Accurate Data Value Prediction Using Hybrid Predictors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Instruction-level parallel (ILP) processing Speculative execution, Stride-based prediction, Two-level prediction, Data speculation
21Dominik Stoffel, Wolfgang Kunz Record & play: a structural fixed point iteration for sequential circuit verification. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF circuit resynthesis, circuit retiming, combinational verification techniques, instruction queue, iterative circuit array, local circuit transformation, sequential circuit verification, sequential logic equivalence checking, structural fixed point iteration, time frame equivalence, logic testing, finite state machine, logic design
21Keizo Saisho, Takeshi Sano, Keniti Iwata, Akira Fukuda The Architecture of OCMP and its Evaluation. Search on Bibsonomy ISPAN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on chip multiprocessor, instruction level dispatch, fork-join type parallel processing, evaluation using simulation, shared cache, private cache
21Brian A. Malloy, Errol L. Lloyd, Mary Lou Soffa Scheduling DAG's for Asynchronous Multiprocessor Execution. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF asynchronous multiprocessor execution, sequential instructionstream, execution costs, datadependencies, Data General shared memory multiprocessor system, scheduling, scheduling, parallel programming, parallelism, concurrency, shared memory systems, DAG, communication costs, instruction sets, multiprocessing programs, fine grained parallelism
21Rahul Razdan, Michael D. Smith 0001 A high-performance microarchitecture with hardware-programmable functional units. Search on Bibsonomy MICRO The full citation details ... 1994 DBLP  DOI  BibTeX  RDF automatic instruction set design, compile-time optimization, general-purpose microarchitectures, logic synthesis, programmable logic
20Aneesh Aggarwal Complexity Effective Bypass Networks. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Praveen Raghavan, Andy Lambrechts, Murali Jayapala, Francky Catthoor, Diederik Verkest Distributed Loop Controller for Multithreading in Unithreaded ILP Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Martin Thuresson, Magnus Själander, Per Stenström A Flexible Code Compression Scheme Using Partitioned Look-Up Tables. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Jack Whitham, Neil C. Audsley Using Trace Scratchpads to Reduce Execution Times in Predictable Real-Time Architectures. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wcet, reduction, trace, hard real-time, scratchpads
20Anish Muttreja, Anand Raghunathan, Srivaths Ravi 0001, Niraj K. Jha Hybrid Simulation for Energy Estimation of Embedded Software. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Weiyu Tang, Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau A predictive decode filter cache for reducing power consumption in embedded processors. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Cache, embedded processors, power optimization
20Hung-Chuan Lai, Shi-Jinn Horng, Yong-Yuan Chen, Pingzhi Fan, Yi Pan 0001 A New Concurrent Detection of Control Flow Errors Based on DCT Technique. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Shuming Chen, Xiao Hu, Biwei Liu, Jihua Chen An On-Line Control Flow Checking Method for VLIW Processor. Search on Bibsonomy PRDC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Michele Co, Dee A. B. Weikle, Kevin Skadron Evaluating trace cache energy efficiency. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fetch engine energy efficiency, Trace cache
20Aaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley Dataflow Predication. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Sumeet Kumar, Aneesh Aggarwal Reducing resource redundancy for concurrent error detection techniques in high performance microprocessors. Search on Bibsonomy HPCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Changpeng Fang, Steve Carr 0001, Soner Önder, Zhenlin Wang Path-Based Reuse Distance Analysis. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Yasunori Yanai, Minoru Okada A study on a geometry transformation method for a geometry and scene modeler by a verbal interface. Search on Bibsonomy GRAPHITE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF geometry transformation, modeler, animation, computer graphics, natural language
20John D. Davis, Cong Fu 0010, James Laudon The RASE (Rapid, Accurate Simulation Environment) for chip multiprocessors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Masaharu Imai, Akira Kitajima Verification Challenges in Configurable Processor Design with ASIP Meister. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Leyla Nazhandali, Michael Minuth, Bo Zhai, Javin Olson, Todd M. Austin, David T. Blaauw A second-generation sensor network processor with application-driven memory optimizations and out-of-order execution. Search on Bibsonomy CASES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, energy efficiency, microprocessor, memory organization
20Bita Gorjiara, Daniel D. Gajski Custom Processor Design Using NISC: A Case-Study on DCT algorithm. Search on Bibsonomy ESTIMedia The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Nithin Nakka, Zbigniew Kalbarczyk, Ravishankar K. Iyer, Jun Xu 0003 An Architectural Framework for Providing Reliability and Security Support. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 11442 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license