The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Abhishek Singh 0001, Jim Plusquellic, Dhananjay S. Phatak, Chintan Patel Defect Simulation Methodology for iDDT Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF iDDT, transient current testing, device testing, ATPG, fault simulation, IDDQ, defect simulation, defect-based test
12Mehdi Baradaran Tahoori Application-independent defect tolerance of reconfigurable nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
12Tohru Furuyama Deep Sub-100 nm Design Challenges. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jean-Pierre Schoellkopf ATRS: An Alternative Roadmap for Semiconductors, Technology Evolution and Impacts on System Architecture. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hai Li 0001, Yiran Chen 0001, Kaushik Roy 0001, Cheng-Kok Koh SAVS: a self-adaptive variable supply-voltage technique for process- tolerant and power-efficient multi-issue superscalar processor design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Hailong Yao, Subarna Sinha, Charles C. Chiang, Xianlong Hong, Yici Cai Efficient process-hotspot detection using range pattern matching. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Kenneth L. Shepard, Daniel N. Maynard Variability and yield improvement: rules, models, and characterization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Louis Scheffer An overview of on-chip interconnect variation. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF causes of variability, on-chip variation, design rules
12Shu-Hsing Chung, Chun-Ying Huang, Amy Hsin-I Lee Using Constraint Satisfaction Approach to Solve the Capacity Allocation Problem for Photolithography Area. Search on Bibsonomy ICCSA (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Behzad Esfandyarpour, Ebrahim Asl Soleimani Fast Response Microgas Sensors Based on Platinum Nanoclusters Sputtered on Nanocrystalline tin Oxide Thin Films. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ajay Khoche, Peter Muhmenthaler Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12James W. Tschanz Session Abstract. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Jianfeng Luo, Subarna Sinha, Qing Su, Jamil Kawa, Charles C. Chiang An IC manufacturing yield model considering intra-die variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF random variation, systematic variation, CMP, spatial correlation, manufacturing yield
12Jie Yang 0010, Ethan Cohen, Cyrus Tabery, Norma Rodriguez, Mark Craig An up-stream design auto-fix flow for manufacturability enhancement. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF layout, DFM, OPC, design flow
12Shekhar Borkar Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Hardware Computer System Organization
12Yu Chen 0005, Andrew B. Kahng, Gabriel Robins, Alexander Zelikovsky, Yuhong Zheng Compressible area fill synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Rafael Escovar, Salvador Ortiz 0002, Roberto Suaya An improved long distance treatment for mutual inductance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng, Chul-Hong Park Detailed placement for improved depth of focus and CD control. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Zhen Ma, David M. Klymyshyn, Sven Achenbach LIGA Cavity Resonator for K-Band Applications. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Stav Zaitsev, Ronen Almog, Oleg Shtempluck, Eyal Buks Nonlinear Dynamics in Nanomechanical Oscillators. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Karina Grundke, Astrid Drechsler, Nicole Petong, Cornelia Bellmann, Manfred Stamm, Odo Wunnicke, Jens Reichelt, Iris Mäge, B. Pinter, T. Pearce, M. Voigt On the Effect of Cationic Surfactants in the Rinse to Reduce Pattern Collapse in High Aspect Ratio Patterning of Photoresists. Search on Bibsonomy ICMENS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Michel Côté, Philippe Hurat Standard Cell Printability Grading and Hot Spot Detection. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Srinivas Raghvendra, Philippe Hurat DFM: Linking Design and Manufacturing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Azzouz Nezar, Michael Creighton System on Chip: Challenges and Design for Manufacturing, invited. Search on Bibsonomy IWSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Guangyi Shi, Qiang Huang 0002, Wen J. Li, Wenqian Huang, Gengchen Shi, Kejie Li Towards Automated Micromachining of PMMA Micro Channels using CO/Sub 2/ Laser and Sacrificial Mask Process. Search on Bibsonomy ICRA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sameer Sundresh, WooYoung Kim, Gul Agha SENS: A Sensor, Environment and Network Simulator. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Tim Fühner, Andreas Erdmann, Richárd Farkas, Bernd Tollkühn, Gabriella Kókai Genetic Algorithms to Improve Mask and Illumination Geometries in Lithographic Imaging Systems. Search on Bibsonomy EvoWorkshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Mark A. Lavin, Fook-Luen Heng, Gregory A. Northrop Backend CAD flows for "restrictive design rules". Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Nikhil Jayakumar, Sunil P. Khatri A metal and via maskset programmable VLSI design methodology using PLAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Dennis Sylvester Investigation of performance metrics for interconnect stack architectures. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF back-end metrics, interconnect stacks, via blockage, throughput, energy, bandwidth
12Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Cor Claeys Technological Challenges of Advanced CMOS Processing and Their Impact on Design Aspects. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Shekhar Borkar, Tanay Karnik, Vivek De Design and reliability challenges in nanometer technologies. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF leakage tolerance, reliability, low-power, variability, soft errors, circuits, SEU, SER, variation tolerance
12Scott Hamilton Intel Research Extends Moore's Law. Search on Bibsonomy Computer The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Puneet Gupta 0001, Andrew B. Kahng Manufacturing-Aware Physical Design. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12James R. Heath A systems approach to molecular electronics. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Joohyung Lee 0004, Yogesh B. Gianchandani A scanning thermal microscopy system with a temperature dithering, servo-controlled interface circuit. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Warren Grobman, Robert Boone, Cece Philbin, Bob Jarvis Reticle enhancement technology trends: resource and manufacturability implications for the implementation of physical designs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Kathleen J. M. Surry, Terry M. Peters A PVA-C Brain Phantom Derived from a High Quality 3D MR Data Set. Search on Bibsonomy MICCAI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ashok K. Sinha Extending Moore's Law through Advances in Semiconductor Manufacturing Equipment. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Rui Escadas Martins, Wolfgang Pyka, Rainer Sabelka, Siegfried Selberherr High-precision interconnect analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Herbert Knapp, Wilhelm Wilhelm, Mira Rest, Hans-Peter Trost A 3.8-mW 2.5-GHz dual-modulus prescaler in a 0.8 µm silicon bipolar production technology. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Mohammed Hasanuzzaman, Carlos H. Mastrangelo Process compilation of thin film microdevices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Edward W. Scheckler, Andrew R. Neureuther Models and algorithms for three-dimensional topography simulation with SAMPLE-3D. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Kenny K. H. Toh, Andrew R. Neureuther, Edward W. Scheckler Algorithms for simulation of three-dimensional etching. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Aleksandra Pavasovic, Andreas G. Andreou, Charles R. Westgate Characterization of subthreshold MOS mismatch in transistors for VLSI systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Richard I. Hartley, Kenneth Welles II, Michael J. Hartman, Paul Delano, Abhijit Chatterjee Rapid prototyping using high density interconnects. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #748 of 748 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license