|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 14560 occurrences of 5374 keywords
|
|
|
Results
Found 42366 publication records. Showing 42366 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Rui Zhang 0036, Bruno C. d. S. Oliveira, Alan Bivens, Steve McKeever |
Scalable problem localization for distributed systems: principles and practices. |
Infoscale |
2007 |
DBLP DOI BibTeX RDF |
problem localization, distributed systems, scalability, complexity, hierarchy, decentralization |
14 | Fuyong Yuan, Jian Liu, Chunxia Yin |
A study of scalable search algorithm on unstructured P2P system. |
Infoscale |
2007 |
DBLP DOI BibTeX RDF |
flooding-based, unstructured P2P networks, search, Gnutella |
14 | Qing Li 0001, Zhe Shan 0001, Patrick C. K. Hung, Dickson K. W. Chiu, S. C. Cheung 0001 |
Flows and views for scalable scientific process integration. |
Infoscale |
2006 |
DBLP DOI BibTeX RDF |
cross-organizational process view, web services, flows, exceptions |
14 | Felix Heine |
Scalable p2p based RDF querying. |
Infoscale |
2006 |
DBLP DOI BibTeX RDF |
|
14 | Maria Barra, Giuseppe Cattaneo, Umberto Ferraro Petrillo, Vittorio Scarano |
JSEB (Java Scalable sErvices Builder): Scalable Systems for Clusters of Workstations. |
ISCC |
2001 |
DBLP DOI BibTeX RDF |
|
14 | W. A. Gray, Philippe Marti, Alun D. Preece |
Towards a Scalable Architecture for Knowledge Fusion. |
Agents Workshop on Infrastructure for Multi-Agent Systems |
2000 |
DBLP DOI BibTeX RDF |
|
14 | In-Gyu Kim, Jang-Eui Hong, Doo-Hwan Bae, Ik-Joo Han, Cheong Youn |
Scalable Mobile Agents Supporting Dynamic Composition of Functionality. |
Agents Workshop on Infrastructure for Multi-Agent Systems |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Yu-Shian Li, Hung-Yi Teng, Ren-Hung Hwang |
P2P SVC-encoded video streaming based on network coding. |
IWCMC |
2010 |
DBLP DOI BibTeX RDF |
peer-to-peer, video streaming, network coding, scalable video coding, priority |
14 | Nader Kolsi, Abdelaziz Abdellatif, Khaled Ghédira |
Data warehouse access using multi-agent system. |
Distributed Parallel Databases |
2009 |
DBLP DOI BibTeX RDF |
Dynamic distribution, Scalable and distributed data structures, Multi-agent system, Mobile agent, Data warehouse, Data access |
14 | Jinmi Kang, Gyeongeun Goh, Kidong Chung |
A new inter-layer prediction scheme for spatial scalability with different frame rates. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
inter-layer prediction, scalable video coding, coding efficiency |
14 | Yih Han Tan, Wei Siong Lee, Jo Yew Tham |
Complexity control and computational resource allocation during H.264/SVC encoding. |
ACM Multimedia |
2009 |
DBLP DOI BibTeX RDF |
encoder complexity control, H.264, scalable video coding |
14 | Richard A. Brown |
Hadoop at home: large-scale computing at a small college. |
SIGCSE |
2009 |
DBLP DOI BibTeX RDF |
data-intensive scalable computing, examples in education, student system management, cluster computing, hadoop, map-reduce, beowulf |
14 | Amit Golander, Shlomo Weiss |
Hiding the misprediction penalty of a resource-efficient high-performance processor. |
ACM Trans. Archit. Code Optim. |
2008 |
DBLP DOI BibTeX RDF |
misprediction, Checkpoints, out-of-order execution, scalable architecture, rollback |
14 | Haofen Wang, Thanh Tran 0001, Chang Liu 0021 |
CE2: towards a large scale hybrid search engine with integrated ranking support. |
CIKM |
2008 |
DBLP DOI BibTeX RDF |
hybrid search, scalable storage, annotations, ranking |
14 | Fredrik Gundelsweiler, Robert Konstanzer, Harald Reiterer |
An innovative user interface concept for large hierarchical data spaces by example of the EPDM domain. |
IUI |
2008 |
DBLP DOI BibTeX RDF |
scalable visualization, semantic pixel display, hierarchies |
14 | Daniel Martin 0001, David de Francisco Marcos, Reto Krummenacher, Hans Moritsch, Daniel Wutke |
An Architecture for a QoS-Aware Application Integration Middleware. |
BIS |
2008 |
DBLP DOI BibTeX RDF |
Scalable Tuplespaces, Triple Space, QoS, Semantic Web |
14 | Truong Cong Thang, Jung Won Kang, Jeong-Ju Yoo, Jae-Gon Kim |
Multilayer adaptation for MGS-based SVC bitstream. |
ACM Multimedia |
2008 |
DBLP DOI BibTeX RDF |
mgs, scalable video coding, video adaptation |
14 | Sam S. Tsai, David M. Chen, Jatinder Pal Singh, Bernd Girod |
Rate-efficient, real-time cd cover recognition on a camera-phone. |
ACM Multimedia |
2008 |
DBLP DOI BibTeX RDF |
scalable vocabulary tree, scale-invariant feature, content-based image retrieval, mobile augmented reality |
14 | Ingo Kofler, Martin Prangl, Robert Kuschnig, Hermann Hellwagner |
An H.264/SVC-based adaptation proxy on a WiFi router. |
NOSSDAV |
2008 |
DBLP DOI BibTeX RDF |
in-network adaptation, H.264, scalable video coding, RTP, RTSP, multimedia adaptation |
14 | Arup Acharya, Archan Misra, Xiping Wang, Charles Wright, Nilanjan Banerjee, Dipanjan Chakraborty 0001, Koustuv Dasgupta, Shachi Sharma |
Presence virtualization middleware for next-generation converged applications. |
Middleware (Companion) |
2008 |
DBLP DOI BibTeX RDF |
scalable, virtualization, convergence, presence |
14 | Jin Li, Yi Cui 0001, Bin Chang |
PeerStreaming: design and implementation of an on-demand distributed streaming system with digital rights management capabilities. |
Multim. Syst. |
2007 |
DBLP DOI BibTeX RDF |
Peer discovery, Scalable coded media, Non-sequential media access, Receiver-driven, P2P media streaming system, Media streaming, Peer-to-peer (P2P), Digital rights management (DRM), On-demand streaming |
14 | Michael J. Ackerman |
Next generation networking: distributed multimedia information for healthcare. |
Multim. Tools Appl. |
2007 |
DBLP DOI BibTeX RDF |
Scalable information infrastructure, Internet2, Abilene, Quality of Service, Healthcare, Next generation networking, Distributed multimedia |
14 | Gregory L. Lee, Martin Schulz 0001, Dong H. Ahn, Andrew Bernat, Bronis R. de Supinski, Steven Y. Ko, Barry Rountree |
Dynamic Binary Instrumentation and Data Aggregation on Large Scale Systems. |
Int. J. Parallel Program. |
2007 |
DBLP DOI BibTeX RDF |
binary instrumentation, scalable data collection, performance analysis tools, Massively parallel architectures |
14 | Xiaofan Jiang 0001, Prabal Dutta, David E. Culler, Ion Stoica |
Micro power meter for energy monitoring of wireless sensor networks at scale. |
IPSN |
2007 |
DBLP DOI BibTeX RDF |
wireless sensor networks, scalable, monitoring, embedded, power, energy, dynamic range, meter |
14 | Truong Cong Thang, Dong Su Lee, Jung Won Kang, Yong Man Ro |
Optimal multi-layer adaptation of SVC video over heterogeneous environments. |
IWCMC |
2007 |
DBLP DOI BibTeX RDF |
dynamic programming, scalable video coding, video adaptation |
14 | Michael Ferdman, Babak Falsafi |
Last-Touch Correlated Data Streaming. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation |
14 | Chang-Burm Cho, Tao Li 0006 |
Using Wavelet Domain Workload Execution Characteristics to Improve Accuracy, Scalability and Robustness in Program Phase Analysis. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
program execution variability, workload execution statistics, program phase analysis, computer architecture design, computer architecture optimization, program execution statistics, SPEC CPU 2000 benchmark, wavelet domain phase analysis, runtime workload execution characteristics, scalable phase analysis, sampled workload statistics, phase classification accuracy, wavelet transform, time domain, wavelet denoising |
14 | Sang-Yun Lee, Byung-Uk Choi |
Vector Graphic Reference Implementation for Embedded System. |
SEUS |
2007 |
DBLP DOI BibTeX RDF |
EGL, Software Rendering, Embedded System, Scalable Vector Graphic, OpenVG |
14 | Hung-Cuong Le, Hervé Guyennet, Noureddine Zerhouni |
A New Contention Access Method for Collision Avoidance in Wireless Sensor Networks. |
ICN |
2007 |
DBLP DOI BibTeX RDF |
Sensor networks, Scalable, Energy efficient, Ad-hoc, MAC protocol, Collision avoidance, Contention access |
14 | Ray-Guang Cheng, Wei-Jun Wang, Chang-Lueng Chu |
Dynamic Rate Adjustment (DRA) Algorithm for WiMAX Systems Supporting Multicast Video Services. |
EUC |
2007 |
DBLP DOI BibTeX RDF |
multicast video services, WiMAX, scalable video coding |
14 | Susan G. Hill, Barry A. Bodt |
A field experiment of autonomous mobility: operator workload for one and two robots. |
HRI |
2007 |
DBLP DOI BibTeX RDF |
XUV, operator interface, operator workload, scalable interfaces, span of control, human-robot interaction, unmanned ground vehicle, UGV |
14 | Cyril Concolato, Jean Le Feuvre, Jean-Claude Moissinac |
Timed-fragmentation of SVG documents to control the playback memory usage. |
ACM Symposium on Document Engineering |
2007 |
DBLP DOI BibTeX RDF |
memory usage, streaming, timing, scalable vector graphics, fragmentation |
14 | Nader Mohamed, Jameela Al-Jaroodi, Hong Jiang 0001, David R. Swanson |
High-performance message striping over reliable transport protocols. |
J. Supercomput. |
2006 |
DBLP DOI BibTeX RDF |
Scalable network bandwidth, Parallel data transfer and striping, Network services, Socket |
14 | Shu-Yuen Didi Yao, Cyrus Shahabi, Roger Zimmermann |
BroadScale: Efficient scaling of heterogeneous storage systems. |
Int. J. Digit. Libr. |
2006 |
DBLP DOI BibTeX RDF |
Scalable storage systems, Random data placement, Heterogeneous disk scaling, Load balancing |
14 | Ashot N. Harutyunyan |
Notes on Conditions for Successive Refinement of Information. |
GTIT-C |
2006 |
DBLP DOI BibTeX RDF |
Successive refinement of information (source divisibility), reliability (error exponent), rate-reliability-distortion function, hierarchical (scalable) source coding |
14 | Ben-Jye Chang, Chao-Shu Chen, Ying-Hsin Liang, Hong-Da Lin |
A distributed P2P network based on increasing reliability and scalability for internet applications. |
IWCMC |
2006 |
DBLP DOI BibTeX RDF |
distributed P2P networks, file sharing client/server, index client/server, reliable, scalable, resource sharing |
14 | Thomas W. Schneider, Osman Balci |
VTQuest: a voice-based multimodal web-based software system for maps and directions. |
ACM Southeast Regional Conference |
2006 |
DBLP DOI BibTeX RDF |
client-server software, web-based software, scalable vector graphics, J2EE, multimodal user interface, voice user interface |
14 | Nikola Sprljan, Marta Mrak, Ebroul Izquierdo |
Motion driven adaptive transform based on wavelet transform for enhanced video coding. |
MobiMedia |
2006 |
DBLP DOI BibTeX RDF |
adaptive wavelet transform, intra coding, scalable video coding |
14 | Thomas Schierl, Karsten Gänger, Cornelius Hellge, Karsten Grüneberg, Detlev Marpe, Thomas Stockhammer, Thomas Wiegand |
Video streaming in mobile ad hoc networks. |
MobiMedia |
2006 |
DBLP DOI BibTeX RDF |
MPEG-4 AVC, multi source, mobile ad-hoc networks, streaming, H.264, SVC, scalable video coding |
14 | Renaud Blanch, Michel Beaudouin-Lafon |
Programming rich interactions using the hierarchical state machine toolkit. |
AVI |
2006 |
DBLP DOI BibTeX RDF |
advanced interaction techniques, post-WIMP interaction, structured graphics, software architecture, scalable vector graphics, hierarchical state machines |
14 | Witold Litwin, Riad Mokadem, Philippe Rigaux, Thomas J. E. Schwarz |
Pattern Matching Using n-Gram Sampling of Cumulative Algebraic Signatures: Preliminary Results. (Invited Paper) |
DEXA Workshops |
2006 |
DBLP DOI BibTeX RDF |
scalable distributed pattern matching, algebraic signatures, grid, structured P2P, SDDS |
14 | Yo-Sung Ho, Seung-Hwan Kim 0001 |
Video Coding Techniques for Ubiquitous Multimedia Services. |
ICUCT |
2006 |
DBLP DOI BibTeX RDF |
H.264, ubiquitous, scalable video coding, Video coding standard, fine granular scalability |
14 | Do Young Kim, Jong Won Park |
An Embedded Variable Bit-Rate Audio Coder for Ubiquitous Speech Communications. |
ICUCT |
2006 |
DBLP DOI BibTeX RDF |
Embedded Coder, G.729EV, PESQ-WB, Scalable Audio Coder, Ubiquitous Audio, MOS |
14 | Odd Inge Hillestad, Andrew Perkis, Vasken Genc, Seán Murphy, John Murphy 0001 |
Delivery of on-demand video services in rural areas via IEEE 802.16 broadband wireless access networks. |
WMuNeP |
2006 |
DBLP DOI BibTeX RDF |
video streaming, scalable video coding, IEEE 802.16 |
14 | Orran Krieger, Marc A. Auslander, Bryan S. Rosenburg, Robert W. Wisniewski, Jimi Xenidis, Dilma Da Silva, Michal Ostrowski, Jonathan Appavoo, Maria A. Butrico, Mark F. Mergen, Amos Waterland, Volkmar Uhlig |
K42: building a complete operating system. |
EuroSys |
2006 |
DBLP DOI BibTeX RDF |
customizable operating systems, scalable operating systems, operating system design |
14 | Pradip Bose |
Presilicon modeling: challenges in the late CMOS era. |
IEEE Micro |
2005 |
DBLP DOI BibTeX RDF |
Integrated microarchitectures, special purpose accelerators, scalable on-chip interconnection network, presilicon modeling, CMOS |
14 | Frank P. Kelly, Thomas Voice |
Stability of end-to-end algorithms for joint routing and rate control. |
Comput. Commun. Rev. |
2005 |
DBLP DOI BibTeX RDF |
scalable TCP, internet, dynamic routing |
14 | Arzad Alam Kherani, B. J. Prabhu, Konstantin Avrachenkov, Eitan Altman |
Comparative Study of Different Adaptive Window Protocols. |
Telecommun. Syst. |
2005 |
DBLP DOI BibTeX RDF |
adaptive window protocol (AWP), steady-state Kolmogorov equation, scalable TCP, HighSpeed TCP, transmission control protocol (TCP) |
14 | Shu-Yuen Didi Yao, Cyrus Shahabi, Per-Åke Larson |
Hash-based labeling techniques for storage scaling. |
VLDB J. |
2005 |
DBLP DOI BibTeX RDF |
Scalable storage systems, Random data placement, Load balancing |
14 | Cameron Kiddle, Rob Simmonds, Brian W. Unger |
Improving Scalability of Network Emulation through Parallelism and Abstraction. |
Annual Simulation Symposium |
2005 |
DBLP DOI BibTeX RDF |
Scalable Network Emulation, Simulation Abstraction, Parallel Discrete Event Simulation, Fluid Simulation |
14 | Jason Lukasiak, Chris McElroy, Eva Cheng |
Compression transparent low-level description of audio signals. |
ICME |
2005 |
DBLP DOI BibTeX RDF |
low level audio descriptor, psychoacoustic noise, noise compression, scalable mechanism, audio signal, signal representation |
14 | Mario Döller, Harald Kosch |
Approximating the selectivity of multimedia range queries. |
ICME |
2005 |
DBLP DOI BibTeX RDF |
selectivity approximation, image database query, DBSCAN clustering technique, scalable color descriptor, Oracle multimedia database system, extensible optimizer architecture, MPEG-7 |
14 | Anthony (Peiqun) Yu, Son T. Vuong |
MOPAR: a mobile peer-to-peer overlay architecture for interest management of massively multiplayer online games. |
NOSSDAV |
2005 |
DBLP DOI BibTeX RDF |
peer-to-peer, scalable, networked virtual environment, networked games, massively multiplayer online games, interest management |
14 | Steve Murphy |
Accessibility of graphics in technical documentation for the cognitive and visually impaired. |
SIGDOC |
2005 |
DBLP DOI BibTeX RDF |
diagramming techniques, screen captures, accessibility, visual impairment, diagrams, scalable vector graphics (SVG), cognitive impairment |
14 | Eugenio Di Sciascio, Francesco M. Donini, Marina Mongiello |
A Logic for SVG Documents Query and Retrieval. |
Multim. Tools Appl. |
2004 |
DBLP DOI BibTeX RDF |
knowledge representation, retrieval, Scalable Vector Graphics, spatial similarity |
14 | Ahmed Louri, Avinash Karanth Kodi |
An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs). |
IEEE Trans. Parallel Distributed Syst. |
2004 |
DBLP DOI BibTeX RDF |
parallel optical interconnects, scalable optical networks, cache coherence, SMPs |
14 | Francisco J. Ferrer-Troyano, Jesús S. Aguilar-Ruiz, José Cristóbal Riquelme Santos |
Discovering decision rules from numerical data streams. |
SAC |
2004 |
DBLP DOI BibTeX RDF |
data streams, decision rules, scalable algorithms |
14 | John Whaley, Monica S. Lam |
Cloning-based context-sensitive pointer alias analysis using binary decision diagrams. |
PLDI |
2004 |
DBLP DOI BibTeX RDF |
inclusion-based, Java, scalable, logic programming, program analysis, Datalog, binary decision diagrams, cloning, pointer analysis, context-sensitive |
14 | Paul Boustead, Farzad Safaei |
Comparison of delivery architectures for immersive audio in crowded networked games. |
NOSSDAV |
2004 |
DBLP DOI BibTeX RDF |
network and server architectures, scalable immersive audio, virtual environments, massively multiplayer games |
14 | Ronald P. Vullo, Daniel S. Bogaard |
Visualization with dynamically generated SVG. |
SIGITE Conference |
2004 |
DBLP DOI BibTeX RDF |
simulation, XML, extensible markup language, visualization, animation, SVG, scalable vector graphics |
14 | Daniel S. Bogaard, Ronald P. Vullo, Christopher D. Cascioli |
SVG for educational simulations. |
SIGITE Conference |
2004 |
DBLP DOI BibTeX RDF |
instructional software, simulations, animation, scalable vector graphics |
14 | Bongki Moon, Inés Fernando Vega López, Vijaykumar Immanuel |
Efficient Algorithms for Large-Scale Temporal Aggregation. |
IEEE Trans. Knowl. Data Eng. |
2003 |
DBLP DOI BibTeX RDF |
temporal aggregation, scalable query processing, balanced tree algorithm, merge-sort algorithm, temporal query processing, Temporal databases, data partitioning, aggregate queries |
14 | Xin Li 0005 |
Fast and Efficient Block Motion Estimation in the Wavelet Space. |
ITCC |
2003 |
DBLP DOI BibTeX RDF |
Wavelet Transform (WT), Motion Estimation (ME), Lucas-Kanade algorithm, scalable video coding, phase shifting |
14 | Ashish Goel, Cyrus Shahabi, Shu-Yuen Didi Yao, Roger Zimmermann |
SCADDAR: An Efficient Randomized Technique to Reorganize Continuous Media Blocks. |
ICDE |
2002 |
DBLP DOI BibTeX RDF |
scalable disks, random placement, continuous media server |
14 | Kim Marriott, Bernd Meyer 0001, Laurent Tardif |
Fast and efficient client-side adaptivity for SVG. |
WWW |
2002 |
DBLP DOI BibTeX RDF |
CSVG, differential scaling, adaptivity, interaction, constraints, SVG, scalable vector graphics, semantic zooming |
14 | Kambiz Ghahremani, Cyrus Shahabi, Shu-Yuen Didi Yao, Roger Zimmermann |
Yima: real-time multimedia storage and retrieval. |
ACM Multimedia |
2002 |
DBLP DOI BibTeX RDF |
multimedia storage, scalable, MPEG-4, video server, continuous media |
14 | Xian-He Sun, Wu Zhang |
A Parallel Two-Level Hybrid Method for Diagonal Dominant Tridiagonal Systems. |
IPDPS |
2002 |
DBLP DOI BibTeX RDF |
Tridiagonal Systems, Parallel Processing, Scalable Computing, Poisson Solver |
14 | Franz Pühretmair, Hildegard Rumetshofer, Erwin Schaumlechner |
Extended Decision Making in Tourism Information Systems. |
EC-Web |
2002 |
DBLP DOI BibTeX RDF |
decision making in tourism, Geographic Information Systems (GIS), Scalable Vector Graphics (SVG), Case-based reasoning (CBR), Tourism Information Systems (TIS) |
14 | Anke Weber, Holger M. Kienle, Hausi A. Müller |
Live documents with contextual, data-driven information components. |
SIGDOC |
2002 |
DBLP DOI BibTeX RDF |
live documents, software engineering, reverse engineering, scalable vector graphics, systems documentation, single sourcing, repurposing, Microsoft Office |
14 | Vitaly V. Mikheev, Nikita V. Lipsky, D. Gurchenkov, P. Pavlov, Vladimir V. Sukharev, A. Markov, Sergey V. Kuksenko, Stanislav A. Fedoseev, D. Leskov, A. Yeryomin |
Overview of excelsior JET, a high performance alternative to java virtual machines. |
Workshop on Software and Performance |
2002 |
DBLP DOI BibTeX RDF |
ahead-of-time optimizations, scalable run-time system, java, performance |
14 | Wai-Sum Lin, Rynson W. H. Lau, Kai Hwang 0001, Xiaola Lin, Paul Y. S. Cheung |
Adaptive Parallel Rendering on Multiprocessors and Workstation Clusters. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
polygon rasterization, MPI programming, speedup and efficiency and scalable performance, load balancing, Computer graphics, symmetric multiprocessors, parallel rendering, cluster of workstations, supersampling |
14 | Stefan Lankes, Michael Pfeiffer 0002, Thomas Bemmerl |
Design and Implementation of a SCI-Based Real-Time CORBA. |
ISORC |
2001 |
DBLP DOI BibTeX RDF |
minimumCORBA, Scalable Coherent Interface (SCI), embedded systems, RTOS, real-time networks, Real-Time CORBA |
14 | Franz Pühretmair, Wolfram Wöß |
XML-Based Integration of GIS and Heterogeneous Tourism Information. |
CAiSE |
2001 |
DBLP DOI BibTeX RDF |
extensible markup language (XML), geographic information systems (GIS), information integration, scalable vector graphics (SVG), meta data, electronic data interchange (EDI), tourism information systems (TIS) |
14 | Antonio Liotta, George Pavlou, Graham Knight |
A Self-adaptable Agent System for Efficient Information Gathering. |
MATA |
2001 |
DBLP DOI BibTeX RDF |
Self-adaptable monitoring, Scalable Information Gathering, Adaptable Information Gathering, Mobile Agents |
14 | Nathalie Weiler |
Secure Anonymous Group Infrastructure for Common and Future Internet Applications. |
ACSAC |
2001 |
DBLP DOI BibTeX RDF |
scalable anonymity, configurable end-to-end anonymous communication, pseudonymous multicast, anonymous group communication |
14 | Ravi R. Iyer 0001, Laxmi N. Bhuyan |
Design and Evaluation of a Switch Cache Architecture for CC-NUMA Multiprocessors. |
IEEE Trans. Computers |
2000 |
DBLP DOI BibTeX RDF |
scalable interconnects, shared memory multiprocessors, wormhole routing, execution-driven simulation, Crossbar switches, cache architectures |
14 | Turgay Korkmaz, Marwan Krunz |
Source-oriented topology aggregation with multiple QoS parameters in hierarchical networks. |
ACM Trans. Model. Comput. Simul. |
2000 |
DBLP DOI BibTeX RDF |
QoS-based routing, ATM networks, topology aggregation, scalable routing, PNNI |
14 | Kai Hwang 0001, Hai Jin 0001, Roy S. C. Ho, Wonwoo Ro |
Reliable Cluster Computing with a New Checkpointing RAID-x Architecture. |
Heterogeneous Computing Workshop |
2000 |
DBLP DOI BibTeX RDF |
RAID architectures, disk mirroring, staggered writing and fault tolerance, checkpointing, parallel I/O, Linux clusters, single system image, Scalable computing |
14 | Christine Collet, Genoveva Vargas-Solar, Helena Grazziotin-Ribeiro |
Open Active Services for Data-Intensive Distributed Applications. |
IDEAS |
2000 |
DBLP DOI BibTeX RDF |
open active services, data-intensive distributed applications, heterogeneous scalable applications, autonomous loosely coupled components, distributed active services, distributed database software interaction, anonymous event-passing communications, global rules, application needs, distributed databases, reconfiguration, cooperation, database management systems, Web servers, business rules, database integration, brokers, rule managers, application requirements, event managers |
14 | Tamra Carpenter, Robert L. Carter, Munir Cochinwala, Martin I. Eiger |
Data Caching for Telephony Services. |
IDEAS |
2000 |
DBLP DOI BibTeX RDF |
computer telephony integration, telephony services, client-server data caching, expiration timestamps, local number portability, toll-free numbers, empirical performance, client-server setting, client locations, scalable approach, future data-intensive telecommunications services, simulation studies, telecommunications services, mobile telephony |
14 | Mikael Berndtsson, Jörgen Hansson |
Time is the Shadow of Reactive Behavior. |
IDEAS |
2000 |
DBLP DOI BibTeX RDF |
reactive behaviour, message coordination, scalable trigger system, performance, multi-agent systems, scalability, active database, workflow systems, production rules, rule engine, database performance, electronic messaging |
14 | Yan Xia, Anthony Tung Shuen Ho, YuCheng Zhang |
CIMO - Component Integration MOdel. |
APSEC |
2000 |
DBLP DOI BibTeX RDF |
CIMO, Component Integration Model, binary interface standard, specialized software components, component interoperation, scalable component-based applications, formal specification, specifications, object-oriented methods, asynchronous communication, subroutines, distributed object management, synchronous communication, Component Object Model |
14 | Alexander S. Szalay, Peter Z. Kunszt, Ani Thakar, Jim Gray 0001, Donald R. Slutz, Robert J. Brunner |
Designing and Mining Multi-Terabyte Astronomy Archives: The Sloan Digital Sky Survey. |
SIGMOD Conference |
2000 |
DBLP DOI BibTeX RDF |
data mining, Internet, scalable, database, data analysis, archive, astronomy |
14 | Pao-Ann Hsiung, Farn Wang, Ruey-Cheng Chen |
On the verification of Wireless Transaction Protocol using SGM and RED. |
RTCSA |
2000 |
DBLP DOI BibTeX RDF |
Wireless Transaction Protocol verification, SGM, process concurrency, clock variables, discrete variables, intelligent permutation, explosion factors, scalable verification, State-Graph Manipulators, world standard, large clock constants, large discrete constants, Region Encoding Diagram, state-space size explosions, WTP verification, real time systems, protocols, data structures, data structures, formal verification, formal verification, mobile communication, Wireless Application Protocol, state spaces, RED, state-space methods, reduction techniques |
14 | Stephen W. Ryan, Arvind K. Bansal, T. Kapoor |
A distributed multimedia knowledge based environment for modeling over the Internet. |
ICTAI |
2000 |
DBLP DOI BibTeX RDF |
distributed multimedia knowledge based environment, complex object design, knowledge-based scalable multimedia environment, directed hierarchical graph, reusable component retrieval, reusable component archiving, multiple simultaneous distributed numeric simulations, heterogeneous associative logic programming, user transparent distributed object-based computing, architecture independent graphical user interface, aircraft engines, Java, Java, Internet, Internet, XML, World Wide Web, logic programming, CORBA, heterogeneity, digital simulation, numerical analysis, multimedia computing, information resources, distributed object management, aerospace computing, graph based modeling, hypermedia markup languages, intelligent design assistants, aerospace engines |
14 | Kenneth L. Calvert, Jim Griffioen, Amit Sehgal, Su Wen |
Building a Programmable Multiplexing Service Using Concast. |
ICNP |
2000 |
DBLP DOI BibTeX RDF |
programmable multiplexing service, concast, scalable inverse-multicast network service, multiple sources, packet multiplexing service, reduced packet processing overhead, rate-sharing, queue-manipulation, delays, TCP, transport protocols, queueing theory, packet switching, digital simulation, simulation results, multicast communication, network services |
14 | Yasuyuki Mimatsu, Haruo Yokota |
A performance comparison between the DR-net and a hierarchical RAID system. |
PRDC |
2000 |
DBLP DOI BibTeX RDF |
disc drives, DR-net, hierarchical RAID system, disk array systems, multiple disk drives, centralized controller, communication path, controller functions, bus setup time, parity calculation load, performance evaluation, scalability, digital simulation, system performance, RAID, performance comparison, scalable architecture, hierarchical system |
14 | M. A. Sarwar, Alan D. George, David E. Collins |
Reliability Modeling of SCI Ring-Based Topologies. |
LCN |
2000 |
DBLP DOI BibTeX RDF |
SCI ring-based topologies, cluster interconnects, point-to-point ring-based interconnect, switched ring topologies, 1D k-ary n-cube switching fabrics, 2D k-ary n-cube switching fabrics, UltraSAN, single-ring system, redundant ring, fault tolerance, Petri nets, multiprocessor interconnection networks, network topology, reliability modeling, link failures, system buses, multiprocessor interconnects, scalable coherent interface |
14 | Prashant J. Shenoy, Harrick M. Vin |
Efficient Support for Interactive Operations in Multi-Resolution Video Servers. |
Multim. Syst. |
1999 |
DBLP DOI BibTeX RDF |
Multi-resolution video servers, Multi-resolution playback, Rewind, Scalable compression, Disk arrays, Fast-forward, Scan operations |
14 | Mark A. Heinrich, Vijayaraghavan Soundararajan, John L. Hennessy, Anoop Gupta |
A Quantitative Analysis of the Performance and Scalability of Distributed Shared Memory. |
IEEE Trans. Computers |
1999 |
DBLP DOI BibTeX RDF |
flexible node controller, bitvector, dynamic pointer allocation, controller occupancy, distributed shared memory, performance comparison, FLASH, Cache coherence protocols, MAGIC, COMA, SCI, scalable multiprocessors |
14 | Amy P. Felty, Frank A. Stomp |
Cache Coherency in SCI: Specification and a Sketch of Correctness. |
Formal Aspects Comput. |
1999 |
DBLP DOI BibTeX RDF |
SCI (Scalable Coherent Interface), Distributed systems, Formal verification, Temporal logic, Cache coherency, IEEE standard |
14 | Wolfgang Karl, Markus Leberecht, Martin Schulz 0001 |
Optimizing Data Locality for SCI-Based PC-Clusters with the SmiLE Monitoring Approach. |
IEEE PACT |
1999 |
DBLP DOI BibTeX RDF |
Scalable Coherent Interface (SCI), data locality optimization, cluster computing, hardware-monitoring |
14 | Dugki Min, Eunmi Choi, Donghoon Lee, Byung-Seok Park |
A Load Balancing Algorithm for a Distributed Multimedia Game Server Architecture. |
ICMCS, Vol. 2 |
1999 |
DBLP DOI BibTeX RDF |
Network Game Server, Dynamic Load Balancing Algorithm, Distributed Systems, Scalable Architecture |
14 | Liang Chen |
Parallel simulation by multi-instruction, longest-path algorithms. |
Queueing Syst. Theory Appl. |
1997 |
DBLP DOI BibTeX RDF |
MIMD and SIMD parallel computers, simulation, queueing networks, massive parallel processing, scalable algorithm, longest path, G/G/1 queue |
14 | Shankar L. Regunathan, Kenneth Rose |
Motion Vector Quantization in a Rate-Distortion Framework. |
ICIP (2) |
1997 |
DBLP DOI BibTeX RDF |
rate-distortion framework, codebook design, rate-distortion cost minimisation, multistage structure, multiresolution nature, multistage motion quantization, scalable video coding applications, motion estimation, video coding, vector quantization, motion compensation, video sequences, iterative algorithm, PSNR |
14 | Xuguang Yang, Kannan Ramchandran |
Hierarchical Backward Motion Compensation for Wavelet Video Coding Using Optimized Interpolation Filters. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
hierarchical backward motion compensation, wavelet video coding, optimized interpolation filters, spatially scalable video coding framework, wavelet transform domain, multiresolutional framework, coarser level, aliasing effects, downsampling, weighted aliasing energy, lowpass filtering, estimation loop, adaptive quantization scheme, motion predicted residue wavelet coefficient, subjective reconstruction quality, 24 to 48 kbit/s, 0.5 to 2 dB, motion estimation, motion compensation, wavelet decomposition, video frames |
14 | Nuno Vasconcelos, Frédéric Dufaux |
Pre and Post-Filtering for Low Bit-Rate Video Coding. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
post-filtering, coding artifacts, video coding, video sequence, available bandwidth, scalable architectures, H.263, computational resources, video content, prefiltering, low bit-rate video coding |
14 | Franck Morier, Jenny Benois-Pineau, Dominique Barba, Henri Sanson |
Robust Segmentation of Moving Image Sequences. |
ICIP (1) |
1997 |
DBLP DOI BibTeX RDF |
moving image sequences, spatial segmentation, region contours, motion-based progressive region merging, logical zoom, content manipulation, scalable bit rate, motion estimation, image compression, video sequences, hierarchical representation, image content |
14 | Atsushi Marugame, Mutsumi Ohta |
Robust object extraction method using three cameras. |
ICIP (3) |
1997 |
DBLP DOI BibTeX RDF |
robust object extraction method, lighting conditions, object scalable coding, MPEG-4, cameras, stereo image processing, stereo matching, backgrounds, object extraction |
14 | Liuxi Yang, Josep Torrellas |
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. |
HPCA |
1997 |
DBLP DOI BibTeX RDF |
cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors |
|
|