|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 7106 occurrences of 3291 keywords
|
|
|
Results
Found 16717 publication records. Showing 16717 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Joerg Deigmoeller, Takebumi Itagaki, Gerhard Stoll, Norbert Just |
An approach to intelligently crop and scale video for broadcast applications. |
SAC |
2010 |
DBLP DOI BibTeX RDF |
cropping and scaling, computer vision, regions of interest, visual attention, gaze tracking, global motion estimation |
14 | Terence Soule, Robert B. Heckendorn |
A developmental approach to evolving scalable hierarchies for multi-agent swarms. |
GECCO (Companion) |
2010 |
DBLP DOI BibTeX RDF |
developmental evolution, multi-agent swarms, evolutionary computation, scaling |
14 | R. Muhammad Atif Azad, Conor Ryan |
Abstract functions and lifetime learning in genetic programming for symbolic regression. |
GECCO |
2010 |
DBLP DOI BibTeX RDF |
lifetime learning, linear scaling, genetic programming, symbolic regression, hill climbing |
14 | Terence Soule, Robert B. Heckendorn |
A developmental algorithm for multi-agent swarms with scalable hierarchies. |
GECCO |
2010 |
DBLP DOI BibTeX RDF |
developmental evolution, multi-agent swarms, scaling |
14 | Alyssa Bonnoit, Lawrence T. Pileggi |
Reducing variability in chip-multiprocessors with adaptive body biasing. |
ISLPED |
2010 |
DBLP DOI BibTeX RDF |
dynamic voltage/frequency scaling, body biasing |
14 | Andreas Merkel, Jan Stoess, Frank Bellosa |
Resource-conscious scheduling for energy efficiency on multicore processors. |
EuroSys |
2010 |
DBLP DOI BibTeX RDF |
activity vectors, task characterization, virtualization, CMP, migration, resources, frequency scaling, energy-aware scheduling |
14 | Sushu Zhang, Karam S. Chatha |
Thermal aware task sequencing on embedded processors. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
thermal aware sequencing, dynamic power management, dynamic voltage/frequency scaling |
14 | Azza Ouled Zaid, Achraf Makhloufi, Ammar Bouallegue, Christian Olivier |
Improved QIM-based watermarking integrated to JPEG2000 coding scheme. |
Signal Image Video Process. |
2009 |
DBLP DOI BibTeX RDF |
QIM-based watermarking, Non-linear scaling, JPEG2000 compression, Wavelet transform |
14 | Han-Shen Huang, Bo-Hou Yang, Yu-Ming Chang, Chun-Nan Hsu |
Global and componentwise extrapolations for accelerating training of Bayesian networks and conditional random fields. |
Data Min. Knowl. Discov. |
2009 |
DBLP DOI BibTeX RDF |
Generalized iterative scaling, Aitken’s extrapolation, Bayesian networks, Conditional random fields, Expectation-maximization (EM) algorithm |
14 | Edward T.-H. Chu, Tai-Yi Huang, Cheng-Han Tsai, Jian-Jia Chen, Tei-Wei Kuo |
A DVS-assisted hard real-time I/O device scheduling algorithm. |
Real Time Syst. |
2009 |
DBLP DOI BibTeX RDF |
Dynamic voltage scaling, Dynamic power management, Real-time embedded systems |
14 | Lei Zhang 0019, Wei Jing, Mengdao Xing, Zheng Bao 0001 |
Unparallel trajectory bistatic spotlight SAR imaging. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
unparallel trajectory bistatic SAR, instantaneous Doppler wavenumber, series reversion, frequency scaling algorithm |
14 | Peng Zhou, JiangHe Yao, JiuLing Pei |
Implementation of an energy-efficient scheduling scheme based on pipeline flux leak monitoring networks. |
Sci. China Ser. F Inf. Sci. |
2009 |
DBLP DOI BibTeX RDF |
dynamic modulation scaling, reclaiming scheme, sensor networks, real-time scheduling, EDF |
14 | Li Li 0009, Thomas Kunz |
Cooperative node localization using nonlinear data projection. |
ACM Trans. Sens. Networks |
2009 |
DBLP DOI BibTeX RDF |
curvilinear component analysis, simulations, Localization, multi-dimensional scaling, nonlinear mapping |
14 | Hui Liu 0006, Zili Shao, Meng Wang 0005, Junzhao Du, Chun Jason Xue, Zhiping Jia |
Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems. |
J. Signal Process. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Periodic dependent tasks, Scheduling, Multimedia, Real-time, Dynamic voltage scaling (DVS), Multi-core, Software pipelining, Retiming |
14 | Pepijn J. de Langen, Ben H. H. Juurlink |
Leakage-Aware Multiprocessor Scheduling. |
J. Signal Process. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Scheduling, Multiprocessor, Leakage power, Voltage scaling |
14 | Deok Hee Nam, Edward Asikele |
Data Mining of Image Segments Data with Reduced Neurofuzzy System. |
HCI (11) |
2009 |
DBLP DOI BibTeX RDF |
system reduction, data mining, pattern recognition, image processing, target detection, image scaling |
14 | Roberto Pinho, Maria Cristina Ferreira de Oliveira, Alneu de Andrade Lopes |
Incremental board: a grid-based space for visualizing dynamic data sets. |
SAC |
2009 |
DBLP DOI BibTeX RDF |
high-dimensional data visualization, projection, multidimensional scaling |
14 | Susanne Albers |
Algorithms for Energy Saving. |
Efficient Algorithms |
2009 |
DBLP DOI BibTeX RDF |
Dynamic speed scaling, power-down mechanisms, scheduling, approximation algorithms, competitive analysis, probabilistic analysis |
14 | Rafael E. Banchs |
Semantic Mapping for Related Term Identification. |
CICLing |
2009 |
DBLP DOI BibTeX RDF |
Related Term Identification, Multidimensional Scaling, Vector Space Model, Latent Semantic Analysis |
14 | Ilango Sriram |
SPECI, a Simulation Tool Exploring Cloud-Scale Data Centres. |
CloudCom |
2009 |
DBLP DOI BibTeX RDF |
data centre, scaling of performance, middleware, Cloud computing, simulation tools |
14 | Evangelos Karapanos, Jean-Bernard Martens, Marc Hassenzahl |
Accounting for diversity in subjective judgments. |
CHI |
2009 |
DBLP DOI BibTeX RDF |
subjective judgments, user experience, multi-dimensional scaling, quantitative methods, repertory grid |
14 | Aurélie Bertaux, Florence Le Ber, Agnès Braud, Michèle Trémolières |
Identifying Ecological Traits: A Concrete FCA-Based Approach. |
ICFCA |
2009 |
DBLP DOI BibTeX RDF |
fuzzy many-valued context, histogram scaling, hydrobiological data, Galois lattice |
14 | Sushu Zhang, Karam S. Chatha, Goran Konjevod |
Near optimal battery-aware energy management. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
low power design, dynamic power management, dynamic voltage/frequency scaling |
14 | Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott A. Mahlke |
Enabling ultra low voltage system operation by tolerating on-chip cache failures. |
ISLPED |
2009 |
DBLP DOI BibTeX RDF |
fault-tolerant cache, low voltage operation, dynamic voltage scaling |
14 | Emre Tuncer, Jordi Cortadella, Luciano Lavagno |
Enabling adaptability through elastic clocks. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
low power design, GALS, desynchronization, adaptive voltage scaling |
14 | Zhen Qi, John E. McInroy |
Improved Image Based Visual Servoing with Parallel Robot. |
J. Intell. Robotic Syst. |
2008 |
DBLP DOI BibTeX RDF |
Position regulation, Image Jacobian, Adaptive supervisory fuzzy logic controller, Fuzzy scaling matrix, Parallel robot, Settling time |
14 | Xiliang Zhong, Cheng-Zhong Xu 0001 |
System-wide energy minimization for real-time tasks: Lower bound and approximation. |
ACM Trans. Embed. Comput. Syst. |
2008 |
DBLP DOI BibTeX RDF |
Real-Time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling |
14 | Rajarshi Mukherjee, Song Liu, Seda Ogrenci Memik, Somsubhra Mondal |
A high-level clustering algorithm targeting dual Vdd FPGAs. |
ACM Trans. Design Autom. Electr. Syst. |
2008 |
DBLP DOI BibTeX RDF |
clustering, field programmable gate arrays, partitioning, placement, voltage scaling, Dynamic power |
14 | Anton Batliner, Stefan Steidl, Christian Hacker, Elmar Nöth |
Private emotions versus social interaction: a data-driven approach towards analysing emotion in speech. |
User Model. User Adapt. Interact. |
2008 |
DBLP DOI BibTeX RDF |
Non-metrical multi-dimensional scaling, Annotation, Emotion, Speech, Dimensions, Categories, Data-driven |
14 | Alexander M. Bronstein, Michael M. Bronstein, Alfred M. Bruckstein, Ron Kimmel |
Analysis of Two-Dimensional Non-Rigid Shapes. |
Int. J. Comput. Vis. |
2008 |
DBLP DOI BibTeX RDF |
Non-rigid shapes, Partial similarity, GMDS, Gromov-Hausdorff distance, Intrinsic geometry, Multidimensional scaling, Pareto optimum |
14 | Yingge Qu, Wai-Man Pang, Tien-Tsin Wong, Pheng-Ann Heng |
Richness-preserving manga screening. |
ACM Trans. Graph. |
2008 |
DBLP DOI BibTeX RDF |
manga, non-photorealistic rendering, multidimensional scaling, screening |
14 | Mischa Dohler, Thomas Watteyne, Fabrice Valois, Jialiang Lu |
Kumar's, Zipf's and other laws: how to structure a large-scale wireless network? |
Ann. des Télécommunications |
2008 |
DBLP DOI BibTeX RDF |
Large-scale wireless network, Scaling laws, Asymptotic behaviour |
14 | Kenji Funaoka, Shinpei Kato, Nobuyuki Yamasaki |
Energy-Efficient Optimal Real-Time Scheduling on Multiprocessors. |
ISORC |
2008 |
DBLP DOI BibTeX RDF |
Energy-Aware Multiprocessor Systems, Voltage and Frequency Scaling, Real-Time Scheduling |
14 | Christophe Giacomotto, Mandeep Singh, Milena Vratonjic, Vojin G. Oklobdzija |
Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. |
PATMOS |
2008 |
DBLP DOI BibTeX RDF |
Clocked storage elements, VLSI, power consumption, flip-flops, voltage scaling, clock gating, power gating, energy optimization, MTCMOS, circuit optimization, circuit tuning, circuit analysis |
14 | Neelam Verma, Prasanna R. Mishra, Gireesh Pandey |
Identification of Cryptographically Strong and Weak Pseudorandom Bit Generators. |
ICISS |
2008 |
DBLP DOI BibTeX RDF |
PRBG, BBS generator, Geffe generator, Confidence Interval Estimation, Feature extraction, Multidimensional Scaling |
14 | Atsushi Ueta, Takehisa Yairi, Hirofumi Kanazaki, Kazuo Machida |
Map Building by Sequential Estimation of Inter-feature Distances. |
PRICAI |
2008 |
DBLP DOI BibTeX RDF |
mobile robot, Mapping, SLAM, multi-dimensional scaling |
14 | Bayram Akdemir, Salih Günes, Sebnem Yosunkaya |
New Data Pre-processing on Assessing of Obstructive Sleep Apnea Syndrome: Line Based Normalization Method (LBNM). |
ICIC (3) |
2008 |
DBLP DOI BibTeX RDF |
Obstructive Sleep Apnea Syndrome, Data Scaling, Line Based Normalization Method, C4.5 Decision Tree Classifier, Levenberg Marquart Artificial Neural Network |
14 | Achyanta Sarmah, Shyamanta M. Hazarika, Smriti Kumar Sinha |
Security Pattern Lattice: A Formal Model to Organize Security Patterns. |
DEXA Workshops |
2008 |
DBLP DOI BibTeX RDF |
trust, scaling, formal concept analysis, concept lattice, security pattern |
14 | Nina Gaißert, Christian Wallraven, Heinrich H. Bülthoff |
Analyzing Perceptual Representations of Complex, Parametrically-Defined Shapes Using MDS. |
EuroHaptics |
2008 |
DBLP DOI BibTeX RDF |
similarity, visual perception, psychophysics, multidimensional scaling, haptic perception |
14 | Reza Seifabadi, Seyed Mehdi Rezaei, Saeed Shiry 0001, Mozafar Saadat, Mohammad Zarei-nejad, Kamran Razi, Hossein Habibollahi |
Robust Impedance Control of a Delayed Telemanipulator Considering Hysteresis Nonlinearity of the Piezo-actuated Slave Robot. |
EuroHaptics |
2008 |
DBLP DOI BibTeX RDF |
macro-micro telemanipulation, piezo-actuator, LuGre model, robustness, scaling, Nonlinear, time delay, impedance controller, Hysteresis, sliding mode |
14 | Jian-Jia Chen, Chuan-Yue Yang, Hsueh-I Lu, Tei-Wei Kuo |
Approximation Algorithms for Multiprocessor Energy-Efficient Scheduling of Periodic Real-Time Tasks with Uncertain Task Execution Time. |
IEEE Real-Time and Embedded Technology and Applications Symposium |
2008 |
DBLP DOI BibTeX RDF |
Expected Energy Consumption Minimization, Probability, Dynamic Voltage Scaling (DVS), Multiprocessor Scheduling, Energy-Efficient Scheduling |
14 | Vinay Devadas, Hakan Aydin |
Real-Time Dynamic Power Management through Device Forbidden Regions. |
IEEE Real-Time and Embedded Technology and Applications Symposium |
2008 |
DBLP DOI BibTeX RDF |
Dynamic Voltage Scaling, Real-Time Scheduling, Energy Management, Dynamic Power Management, Periodic Task Scheduling |
14 | Tak H. Ning |
GLSVLSI 2008 invited/keynote talk. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
soi, cmos scaling |
14 | Rafael E. Banchs, Andreas Kaltenbrunner |
Exploiting MDS Projections for Cross-language IR. |
SIGIR |
2008 |
DBLP DOI BibTeX RDF |
multidimensional scaling, IR, cross-language |
14 | Emese Szádeczky-Kardoss, Bálint Kiss |
Path Planning and Tracking Control for an Automatic Parking Assist System. |
EUROS |
2008 |
DBLP DOI BibTeX RDF |
automatic parking assist system, continuous curvature path planning, tracking control, time-scaling |
14 | Roland Martin, Dimitri Komatitsch, Céline Blitz, Nicolas Le Goff |
Simulation of Seismic Wave Propagation in an Asteroid Based upon an Unstructured MPI Spectral-Element Method: Blocking and Non-blocking Communication Strategies. |
VECPAR |
2008 |
DBLP DOI BibTeX RDF |
Non-blocking MPI, seismic wave propagation, asteroids, load balancing, scaling, mesh partitioning |
14 | Biljana L. Stojkoska, Danco Davcev, Andrea Kulakov |
Cluster-based MDS algorithm for nodes localization in wireless sensor networks with irregular topologies. |
CSTST |
2008 |
DBLP DOI BibTeX RDF |
wireless sensor networks, multidimensional scaling, nodes localization |
14 | Ümit Y. Ogras, Radu Marculescu, Diana Marculescu |
Variation-adaptive feedback control for networks-on-chip with multiple clock domains. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
dynamic voltage-frequency scaling, voltage-frequency island, networks-on-chip, MPSoC, feedback control, parameter variation |
14 | Yan Gu 0003, Samarjit Chakraborty |
Control theory-based DVS for interactive 3D games. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
power management, graphics, voltage scaling, 3D games |
14 | Håvard Berland, Bård Skaflestad, Will M. Wright |
EXPINT - A MATLAB package for exponential integrators. |
ACM Trans. Math. Softw. |
2007 |
DBLP DOI BibTeX RDF |
? functions, Exponential integrators for semilinear problems, multistep methods, scaling and squaring technique, MATLAB, Runge-Kutta methods |
14 | Yongwei Miao, Jieqing Feng, Chunxia Xiao, Qunsheng Peng 0001, A. Robin Forrest |
Differentials-Based Segmentation and Parameterization for Point-Sampled Surfaces. |
J. Comput. Sci. Technol. |
2007 |
DBLP DOI BibTeX RDF |
point-sampled surface, segmentation, computer graphics, multidimensional scaling, parameterization, k-means clustering |
14 | Yung-Chia Lin, Yi-Ping You, Chung-Wen Huang, Jenq Kuen Lee, Wei-Kuan Shih, TingTing Hwang |
Energy-aware scheduling and simulation methodologies for parallel security processors with multiple voltage domains. |
J. Supercomput. |
2007 |
DBLP DOI BibTeX RDF |
Scheduling, Parallel processing, Power management, Dynamic voltage scaling, Power gating, Security processor |
14 | Hong Jin, Danli Wang, Hongan Wang, Henry (Hui) Wang |
Feedback fuzzy-DVS scheduling of control tasks. |
J. Supercomput. |
2007 |
DBLP DOI BibTeX RDF |
Control task, Scheduling, Dynamic voltage scaling, Feedback control, Fuzzy rule |
14 | Chien-Lin Huang, Chung-Hsien Wu |
Generation of Phonetic Units for Mixed-Language Speech Recognition Based on Acoustic and Contextual Analysis. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
Mixed-language speech recognition, phonetic unit, hyperspace analog to language, multidimensional scaling |
14 | Ravi K. Venkatesan, Ahmed S. Al-Zawawi, Krishnan Sivasubramanian, Eric Rotenberg |
ZettaRAM: A Power-Scalable DRAM Alternative through Charge-Voltage Decoupling. |
IEEE Trans. Computers |
2007 |
DBLP DOI BibTeX RDF |
memory technology, dynamic voltage scaling, DRAM, molecular electronics, molecular memory, low-power memory |
14 | Niti Madan, Rajeev Balasubramonian |
Power Efficient Approaches to Redundant Multithreading. |
IEEE Trans. Parallel Distributed Syst. |
2007 |
DBLP DOI BibTeX RDF |
redundant multi-threading (RMT), dynamic frequency scaling, Reliability, power, soft errors, transient faults, heterogeneous chip multiprocessors |
14 | Vassos Soteriou, Noel Eisley, Li-Shiuan Peh |
Software-directed power-aware interconnection networks. |
ACM Trans. Archit. Code Optim. |
2007 |
DBLP DOI BibTeX RDF |
Software-directed power reduction, simulation, interconnection networks, dynamic voltage scaling, on-chip networks, communication links |
14 | Yifan Zhu, Frank Mueller 0001 |
Exploiting synchronous and asynchronous DVS for feedback EDF scheduling on an embedded platform. |
ACM Trans. Embed. Comput. Syst. |
2007 |
DBLP DOI BibTeX RDF |
scheduling, Real-time systems, dynamic voltage scaling, feedback control |
14 | Alexander M. Bronstein, Michael M. Bronstein, Ron Kimmel |
Calculus of Nonrigid Surfaces for Geometry and Texture Manipulation. |
IEEE Trans. Vis. Comput. Graph. |
2007 |
DBLP DOI BibTeX RDF |
Isometric embedding, minimum-distortion mapping, generalized multidimensional scaling, expression exaggeration, virtual dressing, virtual body painting, calculus of surfaces, texture mapping, morphing, correspondence problem, face animation |
14 | Yuan Cai, Marcus T. Schmitz, Bashir M. Al-Hashimi, Sudhakar M. Reddy |
Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
adaptive body biasing, embedded systems, Dynamic voltage scaling, battery |
14 | Po-Kuan Huang, Soheil Ghiasi |
Efficient and scalable compiler-directed energy optimization for realtime applications. |
ACM Trans. Design Autom. Electr. Syst. |
2007 |
DBLP DOI BibTeX RDF |
energy-aware compiler, Leakage, technology scaling |
14 | Xiliang Zhong, Cheng-Zhong Xu 0001 |
Frequency-aware energy optimization for real-time periodic and aperiodic tasks. |
LCTES |
2007 |
DBLP DOI BibTeX RDF |
real-time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling |
14 | Chloé Rolland, Julien Ridoux, Bruno Baynat |
LiTGen, a Lightweight Traffic Generator: Application to P2P and Mail Wireless Traffic. |
PAM |
2007 |
DBLP DOI BibTeX RDF |
scaling behaviors, energy plot, semi- experiments, traffic generator |
14 | Xianjun Sam Zheng, James Jeng-Weei Lin, Salome Zapf, Claus Knapheide |
Visualizing User Experience Through "Perceptual Maps": Concurrent Assessment of Perceived Usability and Subjective Appearance in Car Infotainment Systems. |
HCI (12) |
2007 |
DBLP DOI BibTeX RDF |
visualization, design, usability, User experience, interactive systems, multidimensional scaling, appearance, perceived usability |
14 | Emiko Orimo, Hideki Koike, Toshiyuki Masui, Akikazu Takeuchi |
Analysis and Evaluation of Recommendation Systems. |
HCI (8) |
2007 |
DBLP DOI BibTeX RDF |
rating algorithm, multi-dimensional scaling method, visualization, recommendation system |
14 | Ke Meng, Frank Huebbers, Russ Joseph, Yehea I. Ismail |
Modeling and Characterizing Power Variability in Multicore Architectures. |
ISPASS |
2007 |
DBLP DOI BibTeX RDF |
mal-fabricated chip, VariPower, project power variability, microarchitectural block, power variability characterization, statistical analysis, multicore processor, multicore architecture, technology scaling, parameter variation, SPICE simulation |
14 | António Dourado, Edgar Ferreira, Paulo Barbeiro |
VISRED -Numerical Data Mining with Linear and Nonlinear Techniques. |
ICDM |
2007 |
DBLP DOI BibTeX RDF |
numerical data mining, principal component analysis, applications, multidimensional scaling |
14 | Songah Chae, Doo-Hyun Kim, Changhee Jung, Duk-Kyun Woo, Chaedeok Lim |
Experimental Analysis on Time-Triggered Power Consumption Measurement with DVS-Enabled Multiple Power Domain Platform. |
SEUS |
2007 |
DBLP DOI BibTeX RDF |
Power Consumption Measurement, Dynamic Voltage Scaling, Embedded Software |
14 | Ruibin Xu, Rami G. Melhem, Daniel Mossé |
A unified practical approach to stochastic DVS scheduling. |
EMSOFT |
2007 |
DBLP DOI BibTeX RDF |
stochastic DVS scheme, real-time, power management, dynamic voltage scaling, fully polynomial time approximation scheme |
14 | Sang Jeong Lee, Hae-Kag Lee, Pen-Chung Yew |
Runtime Performance Projection Model for Dynamic Power Management. |
Asia-Pacific Computer Systems Architecture Conference |
2007 |
DBLP DOI BibTeX RDF |
Dynamic Voltage-Frequency Scaling, Performance Monitoring, Dynamic Power Management |
14 | Somnath Paul, Sivasubramaniam Krishnamurthy, Hamid Mahmoodi, Swarup Bhunia |
Low-overhead design technique for calibration of maximum frequency at multiple operating points. |
ICCAD |
2007 |
DBLP DOI BibTeX RDF |
frequency calibration, voltage sensitivity, dynamic voltage and frequency scaling, ring oscillator |
14 | Chang Wook Ahn, Rudrapatna S. Ramakrishna |
Multiobjective real-coded bayesian optimization algorithmrevisited: diversity preservation. |
GECCO |
2007 |
DBLP DOI BibTeX RDF |
evolutionary algorithms, probabilistic models, multiobjective optimization, scaling, diversity preservation |
14 | Zhe Fang, Torsten Möller, Ghassan Hamarneh, Anna Celler |
Visualization and exploration of time-varying medical image data sets. |
Graphics Interface |
2007 |
DBLP DOI BibTeX RDF |
volume rendering, medical imaging, transfer function, time-varying data, multi-dimensional scaling |
14 | Jung-Hi Min, Hojung Cha |
Reducing display power in DVS-enabled handheld systems. |
ISLPED |
2007 |
DBLP DOI BibTeX RDF |
dynamic voltage scaling, energy management, dynamic power management, LCD |
14 | Jheng-Ming Chen, Kuochen Wang, Ming-Ham Lin |
Energy Efficient Scheduling for Real-Time Systems with Mixed Workload. |
EUC |
2007 |
DBLP DOI BibTeX RDF |
mixed workload real-time system, inter-task dynamic voltage scaling, actual workload, worst case-execution time, slack time |
14 | Eunchan Kim 0001, Seok Woo, Chungsan Kim, Kiseon Kim |
LaMSM: Localization Algorithm with Merging Segmented Maps for Underwater Sensor Networks. |
EUC Workshops |
2007 |
DBLP DOI BibTeX RDF |
optimization, sensor networks, localization, multi-dimensional scaling |
14 | Evangelos Karapanos, Jean-Bernard Martens |
Characterizing the Diversity in Users' Perceptions. |
INTERACT (1) |
2007 |
DBLP DOI BibTeX RDF |
user profiling, Multi-dimensional Scaling, Repertory Grid |
14 | Miika Rajala, Risto Ritala |
A Method to Estimate the Graph Structure for a Large MRF Model. |
ICANN (2) |
2007 |
DBLP DOI BibTeX RDF |
Graph structure estimation, Markov random fields (MRF), Mutual Information, Graphical models, Multidimensional scaling |
14 | Lemuel R. Waitman, Douglas H. Fisher, Paul H. King |
Bootstrapping rule induction to achieve rule stability and reduction. |
J. Intell. Inf. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Perioperative medicine, Rule similarity, Rule visualization, Rule abstraction, Algorithm stability, Bootstrapping, Multidimensional scaling, Rule induction, Decision rules |
14 | Diansheng Guo, Mark Gahegan |
Spatial ordering and encoding for geographic data mining and visualization. |
J. Intell. Inf. Syst. |
2006 |
DBLP DOI BibTeX RDF |
Spatio-temporal visualization, Hierarchical clustering, Multidimensional scaling, Spatial data mining, Space-filling curve, Linear ordering |
14 | Ravindra Jejurikar, Rajesh Gupta 0001 |
Optimized Slowdown in Real-Time Task Systems. |
IEEE Trans. Computers |
2006 |
DBLP DOI BibTeX RDF |
slowdown factors, real-time systems, dynamic voltage scaling, convex optimization, EDF scheduling, low power scheduling |
14 | Theresa Cooke, Sebastian Kannengiesser, Christian Wallraven, Heinrich H. Bülthoff |
Object feature validation using visual and haptic similarity ratings. |
ACM Trans. Appl. Percept. |
2006 |
DBLP DOI BibTeX RDF |
haptic, validation, perception, texture, Similarity, shape, features, vision, multidimensional scaling, touch |
14 | Stephen P. Boyd, Arpita Ghosh, Balaji Prabhakar, Devavrat Shah |
Randomized gossip algorithms. |
IEEE Trans. Inf. Theory |
2006 |
DBLP DOI BibTeX RDF |
sensor networks, random walk, gossip, semidefinite programming, scaling laws, distributed averaging |
14 | Dennis Sylvester, David T. Blaauw, Eric Karl |
ElastIC: An Adaptive Self-Healing Architecture for Unpredictable Silicon. |
IEEE Des. Test Comput. |
2006 |
DBLP DOI BibTeX RDF |
unpredictable silicon, runtime self-diagnosis, adaptivity, architecture, process variations, self-healing, ElastIC, technology scaling |
14 | Shekhar Borkar |
Tackling variability and reliability challenges. |
IEEE Des. Test Comput. |
2006 |
DBLP DOI BibTeX RDF |
transistor subthreshold leakage, reliability, variability, VLSI designs, technology scaling |
14 | Frank Rehm, Frank Klawonn, Rudolf Kruse |
POLARMAP - Efficient Visualisation of High Dimensional Data. |
IV |
2006 |
DBLP DOI BibTeX RDF |
Sammon’s Mapping, Visualisation, Multidimensional Scaling |
14 | Jure Leskovec, Christos Faloutsos |
Sampling from large graphs. |
KDD |
2006 |
DBLP DOI BibTeX RDF |
graph sampling, graph mining, scaling laws |
14 | Malcolm Mandviwalla, Nian-Feng Tzeng |
Energy-Efficient Scheme for Multiprocessor-Based Router Linecards. |
SAINT |
2006 |
DBLP DOI BibTeX RDF |
linecards, multiprocessors, Dynamic voltage scaling, energy consumption, routers |
14 | Siho Kim, Keun-Sung Bae |
Analysis of Optimal Search Interval for Estimation of Modified Quantization Step Size in Quantization-Based Audio Watermark Detection. |
IWDW |
2006 |
DBLP DOI BibTeX RDF |
Amplitude scaling attack, Quantization-based, Quantization step size, Audio watermarking |
14 | Min-Su Kim, Jae-Won Cho, Rémy Prost, Ho-Youl Jung |
Wavelet Analysis Based Blind Watermarking for 3-D Surface Meshes. |
IWDW |
2006 |
DBLP DOI BibTeX RDF |
scaling coefficients, topological attacks, wavelet transform, Watermarking, blind detection |
14 | Scott Hanson, Dennis Sylvester, David T. Blaauw |
A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. |
ISLPED |
2006 |
DBLP DOI BibTeX RDF |
voltage scaling, gate sizing, subthreshold circuits |
14 | Jérôme Pasquero, Joseph Luk, Shannon Little, Karon E. MacLean |
Perceptual Analysis of Haptic Icons: an Investigation into the Validity of Cluster Sorted MDS. |
HAPTICS |
2006 |
DBLP DOI BibTeX RDF |
haptic icons, handheld device, multidimensional scaling, tactile display, tactile feedback, tactile perception |
14 | Wann-Yun Shieh, Hsin-Dar Chen |
Saving Register-File Leakage Power by Monitoring Instruction Sequence in ROB. |
EUC Workshops |
2006 |
DBLP DOI BibTeX RDF |
register leakage power, high-end embedded processor, dynamic voltage scaling (DVS), reorder buffer |
14 | Takeshi Kakimoto, Akito Monden, Yasutaka Kamei, Haruaki Tamada, Masateru Tsunoda, Ken-ichi Matsumoto |
Using software birthmarks to identify similar classes and major functionalities. |
MSR |
2006 |
DBLP DOI BibTeX RDF |
multi-dimensional scaling, software birthmark |
14 | Jyh-Shing Roger Jang, Hong-Ru Lee |
An Initial Study on Progressive Filtering Based on Dynamic Programming for Query-by-Singing/Humming. |
PCM |
2006 |
DBLP DOI BibTeX RDF |
Progressive Filtering (PF), Query by Singing/Humming (QBSH), Melody Recognition, Linear Scaling, Dynamic Programming (DP), Edit Distance, Dynamic Time Warping, Music Retrieval |
14 | Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang, Sarma B. K. Vrudhula |
Extending the lifetime of fuel cell based hybrid systems. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
DVFS system, task scaling, hybrid systems, battery, fuel cell |
14 | Bren Mochocki, Kanishka Lahiri, Srihari Cadambi, Xiaobo Sharon Hu |
Signature-based workload estimation for mobile 3D graphics. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
workload estimation, embedded systems, dynamic voltage scaling, 3D graphics |
14 | Yan Gu 0003, Samarjit Chakraborty, Wei Tsang Ooi |
Games are up for DVFS. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
graphics workload characterization, multimedia, animation, computer graphics, computer games, dynamic voltage and frequency scaling, power-aware design |
14 | Aman Kansal, Jason Hsu, Mani B. Srivastava, Vijay Raghunathan |
Harvesting aware power management for sensor networks. |
DAC |
2006 |
DBLP DOI BibTeX RDF |
Heliomote, power scaling, power management, energy harvesting |
14 | Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, Jude A. Rivers |
Lifetime Reliability: Toward an Architectural Solution. |
IEEE Micro |
2005 |
DBLP DOI BibTeX RDF |
Lifetime reliability, RAMP, power management, scaling, DRM, MTTF |
|
|