The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for sequential with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1955-1959 (19) 1960-1961 (16) 1962 (17) 1963 (20) 1964 (24) 1965 (38) 1966 (42) 1967 (43) 1968 (43) 1969 (40) 1970 (41) 1971 (62) 1972 (42) 1973 (45) 1974 (58) 1975 (50) 1976 (44) 1977 (52) 1978 (49) 1979 (43) 1980 (58) 1981 (47) 1982 (54) 1983 (62) 1984 (65) 1985 (56) 1986 (67) 1987 (84) 1988 (153) 1989 (170) 1990 (195) 1991 (188) 1992 (235) 1993 (256) 1994 (316) 1995 (370) 1996 (337) 1997 (396) 1998 (356) 1999 (450) 2000 (528) 2001 (543) 2002 (596) 2003 (672) 2004 (859) 2005 (998) 2006 (1075) 2007 (1184) 2008 (1182) 2009 (995) 2010 (619) 2011 (521) 2012 (607) 2013 (615) 2014 (632) 2015 (700) 2016 (734) 2017 (842) 2018 (910) 2019 (1070) 2020 (1175) 2021 (1222) 2022 (1282) 2023 (1460) 2024 (382)
Publication types (Num. hits)
article(11316) book(35) data(1) incollection(167) inproceedings(14290) phdthesis(296) proceedings(1)
Venues (Conferences, Journals, ...)
CoRR(2304) IEEE Trans. Computers(364) IEEE Trans. Comput. Aided Des....(256) IEEE Trans. Inf. Theory(232) ICASSP(207) IEEE Access(202) IEEE Trans. Signal Process.(166) DAC(160) ICCAD(152) WSC(134) VLSI Design(133) AAAI(132) IPDPS(125) CIKM(123) Neurocomputing(114) ICML(102) More (+10 of total 3888)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 11369 occurrences of 4563 keywords

Results
Found 26106 publication records. Showing 26106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
16Rudolf Freund P Systems Working in the Sequential Mode on Arrays and Strings. Search on Bibsonomy Developments in Language Theory The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Chao Tian 0002, Sheila S. Hemami Sequential Design of Multiple Description Scalar Quantizers. Search on Bibsonomy Data Compression Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shaz Qadeer, Dinghao Wu KISS: keep it simple and sequential. Search on Bibsonomy PLDI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF assertion checking, concurrent software, model checking, program analysis, race detection
16Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan Enhancing the Memory Performance of Embedded Systems with the Flexible Sequential and Random Access Memory. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Aaron P. Hurst, Philip Chong, Andreas Kuehlmann Physical placement driven by sequential timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Marcel Fernandez, Miguel Soriano Identification Algorithms for Sequential Traitor Tracing. Search on Bibsonomy INDOCRYPT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Florent Masseglia, Pascal Poncelet, Maguelonne Teisseire Pre-Processing Time Constraints for Efficiently Mining Generalized Sequential Patterns. Search on Bibsonomy TIME The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shijie Song, Zunguo Huang, Huaping Hu, Shiyao Jin A Sequential Pattern Mining Algorithm for Misuse Intrusion Detection. Search on Bibsonomy GCC Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Shuai Ma 0001, Shiwei Tang, Dongqing Yang, Tengjiao Wang 0003, Jinqiang Han Combining Clustering with Moving Sequential Pattern Mining: A Novel and Efficient Technique. Search on Bibsonomy PAKDD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Rajat Arora, Michael S. Hsiao Enhancing SAT-based Bounded Model Checking using Sequential Logic Implications. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ning Li, Yang Gao 0001, Guifeng Tang, Shifu Chen Mining Web Sequential Patterns Using Reinforcement Learning. Search on Bibsonomy APWeb The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Rudolf Freund Asynchronous P Systems and P Systems Working in the Sequential Mode. Search on Bibsonomy Workshop on Membrane Computing The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Luciano Lamberti, Carmine Pappalettere A numerical code for lay-out optimization of skeletal structures with sequential linear programming. Search on Bibsonomy Eng. Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Linearization error, Move limits, Optimal lay-out, Trust region Parameter, Optimization techniques
16Anne Condon, Alan J. Hu Automatable Verification of Sequential Consistency. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jifeng He 0001, Qiwen Xu Advanced Features of Duration Calculus and Their Applications in Sequential Hybrid Programs. Search on Bibsonomy Formal Aspects Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Verification, Semantics, Hybrid systems, Duration Calculus
16Carsten Dachsbacher, Christian Vogelgsang, Marc Stamminger Sequential point trees. Search on Bibsonomy ACM Trans. Graph. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF hardware accelerated graphics, level of detail, point rendering
16Ana T. Freitas, Arlindo L. Oliveira Implicit Resolution of the Chapman-Kolmogorov Equations for Sequential Circuits: An Application in Power Estimation. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Tsuyoshi Iwagaki, Satoshi Ohtake, Hideo Fujiwara Reducibility of Sequential Test Generation to Combinational Test Generation for Several Delay Fault Models. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Yin-Fu Huang, Shao-Yuan Lin Mining Sequential Patterns Using Graph Search Techniques. Search on Bibsonomy COMPSAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Wei-Yu Chen, Arvind Krishnamurthy, Katherine A. Yelick Polynomial-Time Algorithms for Enforcing Sequential Consistency in SPMD Programs with Arrays. Search on Bibsonomy LCPC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Michel Raynal Token-Based Sequential Consistency in Asynchronous Distributed Systems. Search on Bibsonomy AINA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Qingwei Wu, Michael S. Hsiao Efficient Sequential ATPG Based on Partitioned Finite-State-Machine Traversal. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Qingguo Zheng, Ke Xu 0001, Shilong Ma When to Update the Sequential Patterns of Stream Data? Search on Bibsonomy PAKDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Ching-Yao Wang, Tzung-Pei Hong, Shian-Shyong Tseng Maintenance of Sequential Patterns for Record Modification Using Pre-large Sequences. Search on Bibsonomy ICDM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Masakazu Seno, George Karypis SLPMiner: An Algorithm for Finding Frequent Sequential Patterns Using Length-Decreasing Support Constraint. Search on Bibsonomy ICDM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sherri K. Harms, Jitender S. Deogun, Tsegaye Tadesse Discovering Sequential Association Rules with Constraints and Time Lags in Multiple Sequences. Search on Bibsonomy ISMIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Cláudia Antunes, Arlindo L. Oliveira Inference of Sequential Association Rules Guided by Context-Free Grammars. Search on Bibsonomy ICGI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Ming-Yen Lin, Suh-Yin Lee Fast Discovery of Sequential Patterns by Memory Indexing. Search on Bibsonomy DaWaK The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Michel Raynal Sequential Consistency as Lazy Linearizability. Search on Bibsonomy EurAsia-ICT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Meine van der Meulen Model Checking the Design of an Unrestricted, Stuck-at Fault Tolerant, Asynchronous Sequential Circuit Using SMV. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Ming-Yen Lin, Suh-Yin Lee, Sheng-Shun Wang DELISP: Efficient Discovery of Generalized Sequential Patterns by Delimited Pattern-Growth Technology. Search on Bibsonomy PAKDD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Pei-Hsin Wu, Wen-Chih Peng, Ming-Syan Chen Mining Sequential Alarm Patterns in a Telecommunication Database. Search on Bibsonomy Databases in Telecommunications The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Petr Sosík, Rudolf Freund String Rewriting Sequential P-Systems and Regulated Rewriting. Search on Bibsonomy Developments in Language Theory The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Darren Reed 'Making Conversation': Sequential Integrity and the Local Management of Interaction on Internet Newsgroups. Search on Bibsonomy HICSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Hagen Völzer Randomized Non-sequential Processes. Search on Bibsonomy CONCUR The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Mihalis Psarakis, Antonis M. Paschalis, Nektarios Kranitis, Dimitris Gizopoulos, Yervant Zorian Robust and Low-Cost BIST Architectures for Sequential Fault Testing in Datapath Multipliers. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Marek Wojciechowski 0001 Interactive Constraint-Based Sequential Pattern Mining. Search on Bibsonomy ADBIS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Igor Terekhov, Victoria White Distributed Data Access in the Sequential Access Model in the D0 Experiment at Fermilab. Search on Bibsonomy HPDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Andrew J. Davison, Nobuyuki Kita Sequential Localisation and Map-Building in Computer Vision and Robotics. Search on Bibsonomy SMILE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Stanley J. Reeves, Zhao Zhe Sequential algorithms for observation selection. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16María Alpuente, Michael Hanus, Salvador Lucas, Germán Vidal Specialization of Inductively Sequential Functional Logic Programs. Search on Bibsonomy ICFP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Jason Cong, Chang Wu FPGA Synthesis with Retiming and Pipelining for Clock Period Minimization of Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Li-Pen Yuan, Chin-Chi Teng, Sung-Mo Kang Statistical Estimation of Average Power Dissipation in Sequential Circuits. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Chen-Pin Kung, Chen-Shang Lin Parallel sequence fault simulation for synchronous sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parallel sequence simulation, fault simulation, logic simulation
16Jaehong Park, M. Ray Mercer Using Functional Information and Strategy Switching in Sequential ATPG. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Timothy John Lambert, Kewal K. Saluja Methods for Dynamic Test Vector compaction in Sequential Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
16Vishwani D. Agrawal, Srimat T. Chakradhar Combinational ATPG theorems for identifying untestable faults in sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Pranav Ashar, Sujit Dey, Sharad Malik Exploiting multicycle false paths in the performance optimization of sequential logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Jon G. Riecke, Ramesh Viswanathan Isolating Side Effects in Sequential Languages. Search on Bibsonomy POPL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Standard ML
16Remzi H. Arpaci, Andrea C. Dusseau, Amin Vahdat, Lok T. Liu, Thomas E. Anderson, David A. Patterson 0001 The Interaction of Parallel and Sequential Workloads on a Network of Workstations. Search on Bibsonomy SIGMETRICS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Sergio Antoy, Aart Middeldorp A Sequential Reduction Strategy. Search on Bibsonomy ALP The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Mazhar Alidina, José Monteiro 0001, Srinivas Devadas, Abhijit Ghosh, Marios C. Papaefthymiou Precomputation-based sequential logic optimization for low power. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Kwang-Ting Cheng Transition fault testing for sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Stephen D. Brookes, Shai Geva Sequential Functions on Indexed Domains and Full Abstraction for a Sub-Language of PCF. Search on Bibsonomy MFPS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Carl Pixley A theory and implementation of sequential hardware equivalence. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Neri Merhav, Meir Feder Universal Sequential Learning and Decision from Individual Data Sequences. Search on Bibsonomy COLT The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Premachandran R. Menon, Ytzhak H. Levendel, Miron Abramovici SCRIPT: a critical path tracing algorithm for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
16Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Irredundant sequential machines via optimal logic synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Hiroshi Imai, Kazuo Iwano Efficient Sequential and Parallel Algorithms for Planar Minimum Cost Flow. Search on Bibsonomy SIGAL International Symposium on Algorithms The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Olivier Coudert, Christian Berthet, Jean Christophe Madre Formal boolean manipulations for the verification of sequential machines. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Abhijit Ghosh, Srinivas Devadas, A. Richard Newton Sequential Test Generation at the Register-Transfer and Logic Levels. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli A synthesis and optimization procedure for fully and easily testable sequential machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Sheau-Dong Lang, James R. Driscoll, Jiann H. Jou A Unified Analysis of Batched Searching of Sequential and Tree-Structured Files. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Ryszard Janicki, Tomasz Müldner Complete Sequential Specification Allows for Concurrent Execution. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Paul C. Attie, E. Allen Emerson Synthesis of Concurrent Systems with Many Similar Sequential Processes. Search on Bibsonomy POPL The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Kevin Smith 0002, William F. Appelbe Interactive conversion of sequential to multitasking FORTRAN. Search on Bibsonomy ICS The full citation details ... 1989 DBLP  DOI  BibTeX  RDF FORTRAN
16Prashant Palvia Expressions for Batched Searching of Sequential and Hierarchical Files. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
16Ya-Han Hu, Yen-Liang Chen, Er-Hsuan Lin Classification of Time-Sequential Attributes by Using Sequential Pattern Rules. Search on Bibsonomy FSKD (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Di Ma, Gene Tsudik A new approach to secure logging. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Secure logging, forward secure sequential aggregate (FssAgg) authentication, forward-secure stream integrity, MACs, signatures, truncation attack
15Yanchang Zhao, Huaifeng Zhang, Shanshan Wu, Jian Pei, Longbing Cao, Chengqi Zhang, Hans Bohlscheid Debt Detection in Social Security by Sequence Classification Using Both Positive and Negative Patterns. Search on Bibsonomy ECML/PKDD (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF negative sequential patterns, sequence classification
15Nizar R. Mabroukeh, Christie I. Ezeife Using domain ontology for semantic web usage mining and next page prediction. Search on Bibsonomy CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semantic web, association rules, markov model, web usage mining, domain ontology, semantic relatedness, sequential pattern mining
15Emery D. Berger, Ting Yang, Tongping Liu, Gene Novark Grace: safe multithreaded programming for C/C++. Search on Bibsonomy OOPSLA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF deterministic concurrency, sequential semantics, concurrency, determinism, fork-join
15Chien-Ju Ho, Kuan-Ta Chen On formal models for social verification. Search on Bibsonomy KDD Workshop on Human Computation The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Amazon Mechanical Turk, sequential verification, simultaneous verification, game theory, human computation, games with a purpose
15Hoeteck Wee Zero Knowledge in the Random Oracle Model, Revisited. Search on Bibsonomy ASIACRYPT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential composition, zero-knowledge, obfuscation, random oracle model
15Shihao Ji, Ke Zhou 0002, Ciya Liao, Zhaohui Zheng, Gui-Rong Xue, Olivier Chapelle, Gordon Sun, Hongyuan Zha Global ranking by exploiting user clicks. Search on Bibsonomy SIGIR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF sequential supervised learning, user clicks, learning to rank, conditional random field, experimental evaluation, implicit relevance feedback
15Teng Long, Shaojie Qiao, Changjie Tang, Liangxu Liu, Taiyong Li, Jiang Wu E3TP: A Novel Trajectory Prediction Algorithm in Moving Objects Databases. Search on Bibsonomy PAISI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF criminal tracking analysis, hotspot regions, frequent sequential routes, moving objects databases, trajectory prediction
15Meng Hu, Jiong Yang, Wei Su Permu-pattern: discovery of mutable permutation patterns with proximity constraint. Search on Bibsonomy KDD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF permutation pattern, proximity pattern, sequential pattern
15Nini Wang, Xiaodong Liu 0001, Jianchuan Yin An On-Line Learning Radial Basis Function Network and Its Application. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sequential learning, predictive capability, ship control, Radial basis function network
15Hans-Juergen Boehm, Sarita V. Adve Foundations of the C++ concurrency memory model. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF trylock, c++, memory model, data race, sequential consistency, memory consistency
15Tomohiro Amemiya, Taro Maeda NOBUNAGA: Multicylinder-Like Pulse Generator for Kinesthetic Illusion of Being Pulled Smoothly. Search on Bibsonomy EuroHaptics The full citation details ... 2008 DBLP  DOI  BibTeX  RDF continuous force sensation, nongrounding, sequential pulse, illusion
15Xiaonan Ji, James Bailey 0001, Guozhu Dong Mining minimal distinguishing subsequence patterns with gap constraints. Search on Bibsonomy Knowl. Inf. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Gap constraint, Contrast pattern, Sequential pattern, Frequent pattern, Emerging pattern, Data mining algorithm
15Marc Plantevit, Sabine Goutier, Françoise Guisnel, Anne Laurent, Maguelonne Teisseire Mining unexpected multidimensional rules. Search on Bibsonomy DOLAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multidimensional framework, unexpected patterns, sequential patterns
15Chuan Lin 0002, Hai Zhou 0001 Clock Skew Scheduling with Delay Padding for Prescribed Skew Domains. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF delay padding, prescribed skew domains, optimal skew scheduling algorithm, domain assignment, sequential circuit, flip-flops, memory elements, clock period, clock skew scheduling
15Zhendong Zhao, Lei Yuan, Yuxuan Wang, Forrest Sheng Bao, Shun-Yi Zhang, Yan-Fei Sun A Novel Model of Working Set Selection for SMO Decomposition Methods. Search on Bibsonomy ICTAI (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF decomposition meth- ods, working set selection, support vector machines, sequential minimal optimization
15Shaheen Fatima Bidding Strategies for Multi-object Auctions. Search on Bibsonomy Negotiation, Auctions, and Market Engineering The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Sequential auctions, Bidding strategies, Simultaneous auctions
15Jingmin Wang, Kanzhang Wu Study of the SMO Algorithm Applied in Power System Load Forecasting. Search on Bibsonomy PRICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF kennel function, Support vector machine, load forecasting, Sequential minimal optimization
15Myung-Won Kim, Joung Woo Ryu, Eun Ju Kim Speech Recognition with Multi-modal Features Based on Neural Networks. Search on Bibsonomy ICONIP (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF neural network, speech recognition, sequential pattern, post-processing, contextual information
15Noureddine Chabini, El Mostapha Aboulhamid, Ismaïl Chabini, Yvon Savaria Scheduling and optimal register placement for synchronous circuits derived using software pipelining techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiphase, sequential circuit, software pipelining, clock, Retiming
15Abdulrahman Alharby, Hideki Imai IDS False Alarm Reduction Using Continuous and Discontinuous Patterns. Search on Bibsonomy ACNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF alarm reduction, Intrusion detection, sequential patterns
15Huafei Zhu, Feng Bao 0001, Tieyan Li Compact Stimulation Mechanism for Routing Discovery Protocols in Civilian Ad-Hoc Networks. Search on Bibsonomy Communications and Multimedia Security The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Routing discovery protocol, Sequential aggregate signature, Network security
15Predrag T. Tosic, Gul A. Agha On Computational Complexity of Counting Fixed Points in Symmetric Boolean Graph Automata. Search on Bibsonomy UC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Cellular and graph automata, sequential and synchronous dynamical systems, configuration space properties, #P-completeness, computational complexity
15Jeong Hee Hwang, Keun Ho Ryu A New XML Clustering for Structural Retrieval. Search on Bibsonomy ER The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Document Clustering, Sequential Pattern, XML Document, Structural Similarity, Structural Retrieval
15Kwong H. Yung Using Feedback to Improve Masquerade Detection. Search on Bibsonomy ACNS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF feedback-on-alarm, feedback policy, sequential classifier, online classifier, adaptive classifier, masquerading session, masquerading user, intrusion detection, naive-Bayes classifier, masquerade detection
15Sule Gündüz, M. Tamer Özsu A Web page prediction model based on click-stream tree representation of user behavior. Search on Bibsonomy KDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF graph based clustering, two dimensional sequential model, Web usage mining
15Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal State and Fault Information for Compaction-Based Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential circuits, ATPG, test compaction
15Bowo Prasetyo, Iko Pramudiono, Katsumi Takahashi, Masaru Kitsuregawa Naviz : Website Navigational Behavior Visualizer. Search on Bibsonomy PAKDD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF navigational behavior visualization, web traversal property, class-instance view, mobile internet user, sequential pattern mining
15Hak-soo Yu, Jacob A. Abraham An Efficient 3-Bit -Scan Multiplier without Overlapping Bits, and Its 64x64 Bit Implementation. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3-bit-scan, power-delay-area tradeoffs, synchronous sequential, multiplier, partial product
15Mingchun Liu, Chunru Wan Feature selection for automatic classification of musical instrument sounds. Search on Bibsonomy JCDL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF sequential forward feature selection, classification, feature extraction, musical instrument
15Debaleena Das, Nur A. Touba Synthesis of Circuits with Low-Cost Concurrent Error Detection Based on Bose-Lin Codes. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Bose-Lin codes, sequential circuits, logic synthesis, concurrent error detection, self-checking circuits
15Alex Kondratyev, Michael Kishinevsky, Jordi Cortadella, Luciano Lavagno, Alexandre Yakovlev Technology Mapping for Speed-Independent Circuits: Decomposition and Resynthesis. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF combinational decomposition, sequential decomposition, monotonous cover, signal insertion, factorization, hazards, resynthesis, Speed-independent circuit
Displaying result #701 - #800 of 26106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license