The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Armin Tajalli, Yusuf Leblebici A 9 pW/Hz adjustable clock generator with 3-decade tuning range for dynamic power management in subthreshold SCL systems. Search on Bibsonomy ESSCIRC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Massimo Alioto Closed-form analysis of DC noise immunity in subthreshold CMOS logic circuits. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15David Sander, Timir Datta, Pamela Abshire Mismatch compensation of a subthreshold CMOS current normalizer. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15David Bol, Cédric Hocquet, Denis Flandre, Jean-Didier Legat Robustness-aware sleep transistor engineering for power-gated nanometer subthreshold circuits. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Stephen T. Kim, Jaehyouk Choi, Sungho Beck, Taejoong Song, Kyutae Lim, Joy Laskar Subthreshold current mode matrix determinant computation for analog signal processing. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Bai Na, Xuan Chen, Yang Jun, Longxin Shi A differential read subthreshold SRAM bitcell with self-adaptive leakage cut off scheme. Search on Bibsonomy SoCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Chen-Wei Lin, Hung-Hsin Chen, Hao-Yu Yang, Mango Chia-Tso Chao, Rei-Fu Huang Fault models and test methods for subthreshold SRAMs. Search on Bibsonomy ITC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Qi Li, Tony T. Kim A 9T subthreshold SRAM bitcell with data-independent bitline leakage for improved bitline swing and variation tolerance. Search on Bibsonomy APCCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
15Takashi Sato, Hiroyuki Ueyama, Noriaki Nakayama, Kazuya Masu Accurate Array-Based Measurement for Subthreshold-Current of MOS Transistors. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya A 300 nW, 15 ppm°C, 20 ppm/V CMOS Voltage Reference Circuit Consisting of Subthreshold MOSFETs. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ramesh Vaddi, Sudeb Dasgupta, R. P. Agarwal Device and Circuit Design Challenges in the Digital Subthreshold Region for Ultralow-Power Applications. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Anthony R. Kellems, Derrick Roos, Nan Xiao, Steven J. Cox Low-dimensional, morphologically accurate models of subthreshold membrane potential. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Bo Zhai, Sanjay Pant, Leyla Nazhandali, Scott Hanson, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Todd M. Austin, Dennis Sylvester, David T. Blaauw Energy-Efficient Subthreshold Processor Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15David Bol, Renaud Ambroise, Denis Flandre, Jean-Didier Legat Interests and Limitations of Technology Scaling for Subthreshold Logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Taichi Ogawa, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya Threshold-Logic Devices Consisting of Subthreshold CMOS Circuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hyunju Ham, Toshimasa Matsuoka, Kenji Taniguchi 0001 Application of Noise-Enhanced Detection of Subthreshold Signals for Communication Systems. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Osamu Hoshino GABA Transporter Preserving Ongoing Spontaneous Neuronal Activity at Firing Subthreshold. Search on Bibsonomy Neural Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Elizabeth J. Brauer, Yusuf Leblebici Ultra-low power 32-bit pipelined adder using subthreshold source-coupled logic with 5 fJ/stage PDP. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ranjith Kumar, Volkan Kursun Temperature-adaptive voltage scaling for enhanced energy efficiency in subthreshold memory arrays. Search on Bibsonomy Microelectron. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Balaji Jayaraman, Navakanta Bhat Performance Analysis of Subthreshold Cascode Current Mirror in 130 nm CMOS Technology. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sik-Lam Siu, Hei Wong, Wing-Shan Tam, Kuniyuki Kakushima, Hiroshi Iwai Subthreshold parameters of radio-frequency multi-finger nanometer MOS transistors. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Te-Kuang Chiang A new two-dimensional subthreshold behavior model for the short-channel asymmetrical dual-material double-gate (ADMDG) MOSFET's. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15S. Baishya A surface potential and quasi-Fermi potential based drain current model for pocket-implanted MOS transistors in subthreshold regime. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Te-Kuang Chiang A new two-dimensional analytical subthreshold behavior model for short-channel tri-material gate-stack SOI MOSFET's. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Alexander I. Kostyukov, S. V. Lytvynenko, N. V. Bulgakova, A. V. Gorkovenko Subthreshold activation of spinal motoneurones in the stretch reflex: experimental data and modeling. Search on Bibsonomy Biol. Cybern. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hak-Kee Jung Subthreshold Current Model of FinFET Using Three Dimensional Poisson's Equation. Search on Bibsonomy J. Inform. and Commun. Convergence Engineering The full citation details ... 2009 DBLP  BibTeX  RDF
15Armin Tajalli, Massimo Alioto, Yusuf Leblebici Improving Power-Delay Performance of Ultra-Low-Power Subthreshold SCL Circuits. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Leakage Current Reduction Using Subthreshold Source-Coupled Logic. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Francesco Cannillo, Chris Toumazou Subthreshold Parallel FM-to-Digital Delta- Sigma Converter With Output-Bit-Stream Addition by Interleaving. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hyungdong Roh, Hyoungjoong Kim, Youngkil Choi, Jeongjin Roh, Yi-Gyeong Kim, Jong-Kee Kwon A 0.6-V Delta-Sigma Modulator With Subthreshold-Leakage Suppression Switches. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Francesco Cannillo, Christofer Toumazou, Tor Sverre Lande Nanopower Subthreshold MCML in Submicrometer CMOS Technology. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Yusuf Leblebici Subthreshold Circuit Design for Ultra-Low-Power Applications. Search on Bibsonomy PATMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Biswajit Mishra, Bashir M. Al-Hashimi, Mark Zwolinski Variation resilient adaptive controller for subthreshold circuits. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Tomoki Iida, Tetsuya Asai, Eiichi Sano, Yoshihito Amemiya Offset cancellation with subthreshold-operated feedback circuit for fully differential amplifiers. Search on Bibsonomy ICECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Subthreshold SCL for ultra-low-power SRAM and low-activity-rate digital systems. Search on Bibsonomy ESSCIRC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici A widely-tunable and ultra-low-power MOSFET-C filter operating in subthreshold. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Adaptive performance compensation with in-situ timing error prediction for subthreshold circuits. Search on Bibsonomy CICC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Yusuf Leblebici Subthreshold Leakage Reduction: A Comparative Study of SCL and CMOS Design. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Michael B. Henry, Steven B. Griffin, Leyla Nazhandali Fast Simulation Framework for Subthreshold Circuits. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Abdul Kadir Kureshi, Naushad Alam, Mohd. Hasan, Tughrul Arslan Subthreshold Deep Submicron Performance Investigation of CMOS and DTCMOS Biasing Schemes for Reconfigurable Computing. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ahmad-Hossein Adl, Kamal El-Sankary, Ezz I. El-Masry Bandgap Reference with Curvature Corrected Compensation using Subthreshold MOSFETs. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ken Ueno, Tetsuya Asai, Yoshihito Amemiya Low-power Clock Reference Circuit for Intermittent Operation of Subthreshold LSIs. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Xiaofei Chang, Yong Lian 0001 A Quasi-delay-insensitive Dual-rail Adder working in Subthreshold Region. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Sagi Fisher, Adam Teman, Dmitry Vaysman, Alexander Gertsman, Orly Yadid-Pecht, Alexander Fish Ultra-low Power Subthreshold Flip-flop Design. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Massimo Alioto, Yusuf Leblebici Analysis and Design of Ultra-low Power Subthreshold MCML Gates. Search on Bibsonomy ISCAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15S. Ramasamy, B. Venkataramani, R. Niranjini, K. Suganya 100KHz-20MHz Programmable Subthreshold Gm-C Low-Pass Filter in 0.18µ-m CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester A Variation-Tolerant Sub-200 mV 6-T Subthreshold SRAM. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Elizabeth J. Brauer, Yusuf Leblebici, Eric A. Vittoz Subthreshold Source-Coupled Logic Circuits for Ultra-Low-Power Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Naveen Verma, Anantha P. Chandrakasan A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tony Tae-Hyoung Kim, Jason Liu 0004, John Keane 0001, Chris H. Kim A 0.2 V, 480 kb Subthreshold SRAM With 1 k Cells Per Bitline for Ultra-Low-Voltage Computing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Bevin George Perumana, Rajarshi Mukhopadhyay, Sudipto Chakraborty, Chang-Ho Lee, Joy Laskar A Low-Power Fully Monolithic Subthreshold CMOS Receiver With Integrated LO Generation for 2.4 GHz Wireless PAN Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Corrado Calì, Thomas K. Berger, Michele Pignatelli, Alan Carleton, Henry Markram, Michele Giugliano Inferring connection proximity in networks of electrically coupled cells by subthreshold frequency response analysis. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Gap-junctions, Electrical coupling, ZAP current, Layer VI, Networks, Cortex, Interneurons, Impedance
15Jorge X. Velasco-Hernández, Brenda Tapia-Santos Multistability and subthreshold Endemic States in a Model for the Dynamics of Nonsterilizing HIV vaccines. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Osamu Hoshino An Ongoing Subthreshold Neuronal State Established Through Dynamic Coassembling of Cortical Cells. Search on Bibsonomy Neural Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hussam Al-Hertani, Dhamin Al-Khalili, Côme Rozon UDSM subthreshold leakage model for NMOS transistor stacks. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Guoyi Yu, Xuecheng Zou A novel current reference based on subthreshold MOSFETs with high PSRR. Search on Bibsonomy Microelectron. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Lin-An Yang, Chun-Li Yu, Yue Hao A new model of subthreshold swing for sub-100 nm MOSFETs. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Apisak Worapishet, John B. Hughes Performance Enhancement of Switched-Current Technique Using Subthreshold MOS Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya Temperature-compensated CMOS current reference circuit for ultralow-power subthreshold LSIs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15David Bol, Renaud Ambroise, Denis Flandre, Jean-Didier Legat Impact of Technology Scaling on Digital Subthreshold Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15T. Shihabudheen, V. Suresh Babu, M. R. Baiju A low power sub 1V 3.5-ppm/°C voltage reference featuring subthreshold MOSFETs. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ling Su, Dongsheng Ma 0001, A. Paul Brokaw A monolithic step-down SC power converter with frequency-programmable subthreshold z-domain DPWM control for ultra-low power microsystems. Search on Bibsonomy ESSCIRC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Myeong-Eun Hwang, Kaushik Roy 0001 A 135mV 0.13μW process tolerant 6T subthreshold DTMOS SRAM in 90nm technology. Search on Bibsonomy CICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Ik Joon Chang, Jae-Joon Kim, Sang Phill Park, Kaushik Roy 0001 A 32kb 10T Subthreshold SRAM Array with Bit-Interleaving and Differential Read Scheme in 90nm CMOS. Search on Bibsonomy ISSCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yu-Shiang Lin, Dennis Sylvester Single stage static level shifter design for subthreshold to I/O voltage conversion. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Correlation verification between transistor variability model with body biasing and ring oscillation frequency in 90nm subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Vinay Agarwal, Sameer R. Sonkusale A PVT independent subthreshold constant-Gm stage for very low frequency applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mu-Tien Chang, Wei Hwang A fully-differential subthreshold SRAM cell with auto-compensation. Search on Bibsonomy APCCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Jaydeep P. Kulkarni, Keejong Kim, Kaushik Roy 0001 A 160 mV Robust Schmitt Trigger Based Subthreshold SRAM. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Martin Tobias Huber, Hans Albert Braun Conductance versus current noise in a neuronal model for noisy subthreshold oscillations and related spike generation. Search on Bibsonomy Biosyst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Akira Utagawa, Tetsuya Asai, Tetsuya Hirose, Yoshihito Amemiya An Inhibitory Neural-Network Circuit Exhibiting Noise Shaping with Subthreshold MOS Neuron Circuits. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Abigail Morrison, Sirko Straube, Hans Ekkehard Plesser, Markus Diesmann Exact Subthreshold Integration with Continuous Spike Times in Discrete-Time Neural Network Simulations. Search on Bibsonomy Neural Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Akhilesh Kumar, Mohab Anis Dual-Threshold CAD Framework for Subthreshold Leakage Power Aware FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Kazuki Nakada, Tetsuya Asai, Tetsuya Hirose, Hatsuo Hayashi, Yoshihito Amemiya A subthreshold CMOS circuit for a piecewise linear neuromorphic oscillator with current-mode low-pass filters. Search on Bibsonomy Neurocomputing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Fabiano Baroni, Pablo Varona Subthreshold oscillations and neuronal input-output relationships. Search on Bibsonomy Neurocomputing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Julia Berzhanskaya, Anatoli Gorchetchnikov, Steven J. Schiff Switching between gamma and theta: Dynamic network control using subthreshold electric fields. Search on Bibsonomy Neurocomputing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sneha Kabra, Harsupreet Kaur, Subhasis Haldar, Mridula Gupta, R. S. Gupta Two-dimensional subthreshold analysis of sub-micron GaN MESFET. Search on Bibsonomy Microelectron. J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ashudeb Dutta, Kaushik Dasgupta, T. K. Bhattacharyya Compact small signal modeling and PSO-based input matching of a packaged CMOS LNA in subthreshold region. Search on Bibsonomy Microelectron. J. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Walid Elgharbawy, Pradeep Golconda, Abdelhamid G. Moursy, Magdy A. Bayoumi Novel Adaptive Body Biasing Techniques for Energy Efficient Subthreshold CMOS Circuits. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Mohammad Mahdi Khafaji, Mahmoud Kamarei, Behjat Forouzandeh Modified analytical model for subthreshold current in short channel MOSFET's. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Omer Can Akgun, Yusuf Leblebici, Eric A. Vittoz Current sensing completion detection for subthreshold asynchronous circuits. Search on Bibsonomy ECCTD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ranjith Kumar, Volkan Kursun Temperature-Adaptive Energy Reduction for Ultra-Low Power-Supply-Voltage Subthreshold Logic Circuits. Search on Bibsonomy ICECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Armin Tajalli, Eric A. Vittoz, Yusuf Leblebici, Elizabeth J. Brauer Ultra low power subthreshold MOS current mode logic circuits using a novel load device concept. Search on Bibsonomy ESSCIRC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Tony Tae-Hyoung Kim, Jason Liu 0004, Chris H. Kim An 8T Subthreshold SRAM Cell Utilizing Reverse Short Channel Effect for Write Margin and Read Performance Improvement. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Tony Tae-Hyoung Kim, Jason Liu 0004, John Keane 0001, Chris H. Kim A High-Density Subthreshold SRAM with Data-Independent Bitline Leakage and Virtual Ground Replica Scheme. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Giuseppe de Vita, Giuseppe Iannaccone A Voltage Regulator for Subthreshold Logic with Low Sensitivity to Temperature and Process Variations. Search on Bibsonomy ISSCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Chia-Wei Chang, Tien-Yu Lo, Chia-Min Chen, Kuo-Hsi Wu, Chung-Chih Hung A Low-Power CMOS Voltage Reference Circuit Based On Subthreshold Operation. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Koichi Ishida, Kouichi Kanda, Atit Tamtrakarn, Hiroshi Kawaguchi 0001, Takayasu Sakurai Managing subthreshold leakage in charge-based analog circuits with low-VTH transistors by analog T- switch (AT-switch) and super cut-off CMOS (SCCMOS). Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jinhui Chen, Lawrence T. Clark, Tai-Hua Chen An Ultra-Low-Power Memory With a Subthreshold Power Supply Voltage. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tetsuya Asai, Taishi Kamiya, Tetsuya Hirose, Yoshihito Amemiya A subthreshold Analog MOS Circuit for Lotka-volterra Chaotic oscillator. Search on Bibsonomy Int. J. Bifurc. Chaos The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15L. Darrell Whitley, Jonathan E. Rowe Subthreshold-seeking local search. Search on Bibsonomy Theor. Comput. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Benjamin Lindner, André Longtin Comment on "Characterization of Subthreshold Voltage Fluctuations in Neuronal Membranes, " by M. Rudolph and A. Destexhe. Search on Bibsonomy Neural Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Zhiyu Liu, Volkan Kursun Sleep switch dual threshold voltage domino logic with reduced subthreshold and gate oxide leakage current. Search on Bibsonomy Microelectron. J. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tai-Hua Chen, Jinhui Chen, Lawrence T. Clark Subthreshold to Above Threshold Level Shifter Design. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Po-Hsuan Huang, Hongchin Lin, Yen-Tai Lin A Simple Subthreshold CMOS Voltage Reference Circuit With Channel- Length Modulation Compensation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kristel Fobelets, V. Gaspari, P. W. Ding Subthreshold Operation of a Monolithically Integrated Strained-Si Current Mirror at Low Temperatures. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Michael S. Reid, Edgar A. Brown, Stephen P. DeWeerth Subthreshold CMOS Array for Generating a Gaussian Distribution of Currents. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya Power-supply circuits for ultralow-power subthreshold MOS-LSIs. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kristian Granhaug, Snorre Aunet Six Subthreshold Full Adder Cells Characterized in 90 nm CMOS Technology. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Ameet Chavan, Gaurav Dukle, Ben Graniello, Eric W. MacDonald Robust Ultra-Low Power Subthreshold Logic Flip-Flop Design for Reconfigurable Architectures. Search on Bibsonomy ReConFig The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #701 - #800 of 1034 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license