|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 3429 occurrences of 1976 keywords
|
|
|
Results
Found 11232 publication records. Showing 11232 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
16 | Thierry Moyaux, Brahim Chaib-draa, Sophie D'Amours |
Information Sharing as a Coordination Mechanism for Reducing the Bullwhip Effect in a Supply Chain. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part C ![In: IEEE Trans. Syst. Man Cybern. Part C 37(3), pp. 396-409, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Rajat Prakash, Venugopal V. Veeravalli |
Centralized Wireless Data Networks With User Arrivals and Departures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 53(2), pp. 695-713, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Jonathan M. Garibaldi, Turhan Ozen |
Uncertain Fuzzy Reasoning: A Case Study in Modelling Expert Decision Making. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 15(1), pp. 16-30, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Marco A. Alzate, Néstor M. Peña, Miguel A. Labrador |
Neuro-fuzzy Processing of Packet Dispersion Traces for Highly Variable Cross-Traffic Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAM ![In: Passive and Active Network Measurement, 8th Internatinoal Conference, PAM 2007, Louvain-la-neuve, Belgium, April 5-6, 2007, Proceedings, pp. 218-222, 2007, Springer, 978-3-540-71616-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Neuro-fuzzy systems, Traffic estimation, Packet pair dispersion |
16 | Si Won Choi, Jin Sun Her, Hyun Koo Kang, Soo Dong Kim |
Product Line Based Reuse Methodology for Developing Generic ECU. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICESS ![In: Embedded Software and Systems, [Third] International Conference, ICESS 2007, Daegu, Korea, May 14-16, 2007, Proceedings, pp. 37-45, 2007, Springer, 978-3-540-72684-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Ryo Hirade, Rudy Raymond, Hiroyuki Okano |
Sensitivity analysis on causal events of WIP bubbles by a log-driven simulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference, WSC 2007, Washington, DC, USA, December 9-12, 2007, pp. 1747-1754, 2007, WSC, 1-4244-1306-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Randall C. Bachmeyer, Harry S. Delugach |
A Conceptual Graph Approach to Feature Modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCS ![In: Conceptual Structures: Knowledge Architectures for Smart Applications, 15th International Conference on Conceptual Structures, ICCS 2007, Sheffield, UK, July 22-27, 2007, Proceedings, pp. 179-191, 2007, Springer, 978-3-540-73680-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Jie Li, John C. Lach |
Negative-skewed shadow registers for at-speed delay variation characterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 354-359, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Markus Völter, Iris Groher |
Product Line Implementation using Aspect-Oriented and Model-Driven Software Development. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPLC ![In: Software Product Lines, 11th International Conference, SPLC 2007, Kyoto, Japan, September 10-14, 2007, Proceedings, pp. 233-242, 2007, IEEE Computer Society, 0-7695-2888-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Sacha Reis, Andreas Metzger, Klaus Pohl |
Integration Testing in Software Product Line Engineering: A Model-Based Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FASE ![In: Fundamental Approaches to Software Engineering, 10th International Conference, FASE 2007, Held as Part of the Joint European Conferences, on Theory and Practice of Software, ETAPS 2007, Braga, Portugal, March 24 - April 1, 2007, Proceedings, pp. 321-335, 2007, Springer, 978-3-540-71288-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | Puneet Gupta 0001, Andrew B. Kahng, Puneet Sharma, Dennis Sylvester |
Gate-length biasing for runtime-leakage control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8), pp. 1475-1485, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Jiandong Wang, Tongwen Chen, Biao Huang 0001 |
Cyclo-period estimation for discrete-time cyclo-stationary signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 54(1), pp. 83-94, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Cédric Baudrit, Didier Dubois, Dominique Guyonnet |
Joint Propagation and Exploitation of Probabilistic and Possibilistic Information in Risk Assessment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Fuzzy Syst. ![In: IEEE Trans. Fuzzy Syst. 14(5), pp. 593-608, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Alain J. Martin |
Can Asynchronous Techniques Help the SoC Designer? ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI-SoC ![In: IFIP VLSI-SoC 2006, IFIP WG 10.5 International Conference on Very Large Scale Integration of System-on-Chip, Nice, France, 16-18 October 2006, pp. 7-11, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoyao Liang, David M. Brooks |
Mitigating the Impact of Process Variations on Processor Register Files and Execution Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-39 2006), 9-13 December 2006, Orlando, Florida, USA, pp. 504-514, 2006, IEEE Computer Society, 0-7695-2732-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | P. V. Srinivas |
Chip assembly: a new paradigm in hierarchical physical design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2006 International Symposium on Physical Design, ISPD 2006, San Jose, California, USA, April 9-12, 2006, pp. 165, 2006, ACM, 1-59593-299-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Ralf H. Mayer |
Estimating operational benefits of aircraft navigation and air traffic control procedures using an integrated aviation modeling and evaluation platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference WSC 2006, Monterey, California, USA, December 3-6, 2006, pp. 1569-1577, 2006, IEEE Computer Society, 1-4244-0501-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Xiaoji Ye, Peng Li 0001, Frank Liu 0001 |
Practical variation-aware interconnect delay and slew analysis for statistical timing verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 54-59, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Murari Mani, Ashish Kumar Singh, Michael Orshansky |
Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 19-26, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Bérengère Aubert-Broche, Christophe Grova, Anthonin Reilhac, Alan C. Evans, D. Louis Collins |
Realistic Simulated MRI and SPECT Databases. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2006, 9th International Conference, Copenhagen, Denmark, October 1-6, 2006, Proceedings, Part I, pp. 330-337, 2006, Springer, 3-540-44707-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Yan Gu 0003, Samarjit Chakraborty, Wei Tsang Ooi |
Games are up for DVFS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 598-603, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
graphics workload characterization, multimedia, animation, computer graphics, computer games, dynamic voltage and frequency scaling, power-aware design |
16 | Andreas Reuys, Erik Kamsties, Klaus Pohl, Sacha Reis |
Szenario-basierter Systemtest von Software-Produktfamilien. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Inform. Forsch. Entwickl. ![In: Inform. Forsch. Entwickl. 20(1-2), pp. 33-44, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Product family engineering, Test, Reuse, Use cases |
16 | Taehyun Kim 0003, Mostafa H. Ammar |
Optimal quality adaptation for scalable encoded video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 23(2), pp. 344-356, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | John Moses, Malcolm Farrow |
Assessing Variation in Development Effort Consistency Using a Data Source with Missing Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Softw. Qual. J. ![In: Softw. Qual. J. 13(1), pp. 71-89, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
MCAR, Development Type, Language Type, deviance statistic, negative log likelihood statistic, RSQ Adjusted, Bayesian inference, Function Points, MAR, linear regression models |
16 | Yaser Sheikh, Mumtaz Sheikh, Mubarak Shah |
Exploring the Space of a Human Action. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCV ![In: 10th IEEE International Conference on Computer Vision (ICCV 2005), 17-20 October 2005, Beijing, China, pp. 144-149, 2005, IEEE Computer Society, 0-7695-2334-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Marc Toussaint |
Compact Genetic Codes as a Search Strategy of Evolutionary Processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOGA ![In: Foundations of Genetic Algorithms, 8th International Workshop, FOGA 2005, Aizu-Wakamatsu City, Japan, January 5-9, 2005, Revised Selected Papers, pp. 75-94, 2005, Springer, 3-540-27237-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Xavier Pennec, Radu Stefanescu, Vincent Arsigny, Pierre Fillard, Nicholas Ayache |
Riemannian Elasticity: A Statistical Regularization Framework for Non-linear Registration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2005, 8th International Conference, Palm Springs, CA, USA, October 26-29, 2005, Proceedings, Part II, pp. 943-950, 2005, Springer, 3-540-29326-4. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Amol Pednekar, Alok N. Bandekar, Ioannis A. Kakadiaris, Morteza Naghavi |
Automatic Segmentation of Abdominal Fat from CT Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WACV/MOTION ![In: 7th IEEE Workshop on Applications of Computer Vision / IEEE Workshop on Motion and Video Computing (WACV/MOTION 2005), 5-7 January 2005, Breckenridge, CO, USA, pp. 308-315, 2005, IEEE Computer Society, 0-7695-2271-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Patrice Y. Simard, David Steinkraus, Maneesh Agrawala |
Ink Normalization and Beautification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Eighth International Conference on Document Analysis and Recognition (ICDAR 2005), 29 August - 1 September 2005, Seoul, Korea, pp. 1182-1187, 2005, IEEE Computer Society, 0-7695-2420-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Simon K. Warfield, Kelly H. Zou, William M. Wells III |
Simultaneous truth and performance level estimation (STAPLE): an algorithm for the validation of image segmentation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Medical Imaging ![In: IEEE Trans. Medical Imaging 23(7), pp. 903-921, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | P. Thomas Fletcher, Conglin Lu, Stephen M. Pizer, Sarang C. Joshi |
Principal geodesic analysis for the study of nonlinear statistics of shape. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Medical Imaging ![In: IEEE Trans. Medical Imaging 23(8), pp. 995-1005, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Ananth Krishnamurthy, Rajan Suri, Mary K. Vernon |
Analysis of a Fork/Join Synchronization Station with Inputs from Coxian Servers in a Closed Queuing Network. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 125(1-4), pp. 69-94, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
fork/join, assembly systems, synchronization, closed queuing networks |
16 | Saif Benjaafar, Joon-Seok Kim 0003, N. Vishwanadham |
On the Effect of Product Variety in Production-Inventory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 126(1-4), pp. 71-101, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
product variety, delayed differentiation, queueing systems, production/inventory systems |
16 | Chandu Visweswariah |
Statistical analysis and design: from picoseconds to probabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 17th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2004, Pernambuco, Brazil, September 7-11, 2004, pp. 2, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
16 | Puneet Gupta 0001, Fook-Luen Heng |
Toward a systematic-variation aware timing methodology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 41th Design Automation Conference, DAC 2004, San Diego, CA, USA, June 7-11, 2004, pp. 321-326, 2004, ACM, 1-58113-828-8. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
ACLV, layout, manufacturability, OPC, lithography |
16 | T. Chen, S. Naffziger |
Comparison of adaptive body bias (ABB) and adaptive supply voltage (ASV) for improving delay and leakage under the presence of process variation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 11(5), pp. 888-899, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Alexandros Potamianos, Shrikanth S. Narayanan |
Robust recognition of children's speech. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Speech Audio Process. ![In: IEEE Trans. Speech Audio Process. 11(6), pp. 603-616, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Shudong Jin, Azer Bestavros |
Small-World Characteristics of the Internet and Multicast Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 11th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2003), 12-15 October 2003, Orlando, FL, USA, pp. 260-268, 2003, IEEE Computer Society, 0-7695-2039-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Russ Joseph, David M. Brooks, Margaret Martonosi |
Control Techniques to Eliminate Voltage Emergencies in High Performance Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003, pp. 79-90, 2003, IEEE Computer Society, 0-7695-1871-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Ayhan A. Mutlu, Norman G. Gunther, Mahmud Rahman |
Concurrent optimization of process dependent variations in different circuit performance measures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 692-695, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
16 | Philippe Ramadour, Corine Cauvet |
Approach and Model for Business Components Specification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DEXA ![In: Database and Expert Systems Applications, 13th International Conference, DEXA 2002, Aix-en-Provence, France, September 2-6, 2002, Proceedings, pp. 628-637, 2002, Springer, 3-540-44126-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Pierre Hellier, John Ashburner, Isabelle Corouge, Christian Barillot, Karl J. Friston |
Inter Subject Registration of Functional and Anatomical Data Using SPM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2002, 5th International Conference, Tokyo, Japan, September 25-28, 2002, Proceedings, Part II, pp. 590-597, 2002, Springer, 3-540-44225-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Anatomical and functional atlases, spatial normalization, MR, non-rigid registration, MEG |
16 | Noam Shental, Tomer Hertz, Daphna Weinshall, Misha Pavel |
Adjustment Learning and Relevant Component Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECCV (4) ![In: Computer Vision - ECCV 2002, 7th European Conference on Computer Vision, Copenhagen, Denmark, May 28-31, 2002, Proceedings, Part IV, pp. 776-792, 2002, Springer, 3-540-43748-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Srinivas Bodapati, Farid N. Najm |
Prelayout estimation of individual wire lengths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 9(6), pp. 943-958, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Sani R. Nassif |
Modeling and forecasting of manufacturing variations (embedded tutorial). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 145-150, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Catherine Desbleds-Mansard, Alfred Anwander, Linda Chaabane, Maciej Orkisz, Bruno Neyran, Philippe Douek, Isabelle E. Magnin |
Size Independent Active Contour Model for Blood Vessel Lumen Quantification in High-Resolution Magnetic Resonance Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2001, 4th International Conference, Utrecht, The Netherlands, October 14-17, 2001, Proceedings, pp. 854-861, 2001, Springer, 3-540-42697-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Christophe Choisy, Abdel Belaïd |
Handwriting Recognition Using Local Methods for Normalization and Global Methods for Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: 6th International Conference on Document Analysis and Recognition (ICDAR 2001), 10-13 September 2001, Seattle, WA, USA, pp. 23-27, 2001, IEEE Computer Society, 0-7695-1263-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
local and global view, elastic models, SVM, HMM, normalization |
16 | Laura Cimponeriu, Anastasios Bezerianos |
Determinism and Nonlinearity of the Heart Rhythm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMDA ![In: Medical Data Analysis, First International Symposium, ISMDA 2000, Frankfurt, Germany, September 29-30, 2000, Proceedings, pp. 88-96, 2000, Springer, 3-540-41089-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Sachin S. Kajarekar, Hynek Hermansky |
Analysis of Information in Speech and Its Application in Speech Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TSD ![In: Text, Speech and Dialogue - Third International Workshop, TSD 2000, Brno, Czech Republic, September 13-16, 2000, Proceedings, pp. 283-288, 2000, Springer, 3-540-41042-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | David J. Kriegman, Peter N. Belhumeur, Athinodoros S. Georghiades |
Representations for Recognition Under Variable Illumination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Shape, Contour and Grouping in Computer Vision ![In: Shape, Contour and Grouping in Computer Vision, pp. 95-131, 1999, Springer, 3-540-66722-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Mor Harchol-Balter, Mark Crovella, Cristina D. Murta |
On Choosing a Task Assignment Policy for a Distributed Server System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Performance Evaluation (Tools) ![In: Computer Performance Evaluation: Modelling Techniques and Tools, 10th International Conference, Tools '98, Palma de Mallorca, Spain, September 14-18, 1998, Proceedings, pp. 231-242, 1998, Springer, 3-540-64949-2. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
16 | David Dean, Krishnamurthy Subramanyan, Janardhan Kamath, Fred L. Bookstein, David C. Wilson, David Kwon, Peter Buckley |
Comparison of Traditional Brain Segmentation Tools with 3D Self-Organizing Maps. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPMI ![In: Information Processing in Medical Imaging, 15th International Conference, IPMI'97, Poultney, Vermont, USA, June 9-13, 1997, Proceedings, pp. 393-398, 1997, Springer, 3-540-63046-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
16 | Andrew P. Black, Jon Inouye |
System support for mobility. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS European Workshop ![In: Proceedings of the 7th ACM SIGOPS European Workshop: Systems Support for Worldwide Applications, 1996, Connemara, Ireland, September 9-11, 1996, pp. 129-132, 1996, ACM. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
16 | M. H. McKinney |
Variable-length hash area entries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AFIPS National Computer Conference ![In: American Federation of Information Processing Societies: 1977 National Computer Conference, June 13-16, 1977, Dallas, Texas, USA, pp. 371-377, 1977, AFIPS Press, 978-1-4503-7914-4. The full citation details ...](Pics/full.jpeg) |
1977 |
DBLP DOI BibTeX RDF |
|
14 | Alyssa Bonnoit, Lawrence T. Pileggi |
Reducing variability in chip-multiprocessors with adaptive body biasing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 73-78, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
dynamic voltage/frequency scaling, body biasing |
14 | Alessandro Andreoli, Raffaele Gravina, Roberta Giannantonio, Paola Pierleoni, Giancarlo Fortino |
Time-domain heart rate variability analysis with the SPINE-HRV toolkit. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PETRA ![In: Proceedings of the 3rd International Conference on Pervasive Technologies Related to Assistive Environments, PETRA 2010, Samos, Greece, June 23-25, 2010, 2010, ACM, 978-1-4503-0071-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
HRV, domain specific frameworks, health care, body sensor networks, SPINE |
14 | Bente Anda, Dag I. K. Sjøberg, Audris Mockus |
Variability and Reproducibility in Software Engineering: A Study of Four Companies that Developed the Same System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Software Eng. ![In: IEEE Trans. Software Eng. 35(3), pp. 407-429, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Lin Xie, Azadeh Davoodi |
Bound-based identification of timing-violating paths under variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 14th Asia South Pacific Design Automation Conference, ASP-DAC 2009, Yokohama, Japan, January 19-22, 2009, pp. 278-283, 2009, IEEE, 978-1-4244-2748-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Clarissa Borba, Carla T. L. L. Silva |
A Comparison of Goal-Oriented Approaches to Model Software Product Lines Variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ER Workshops ![In: Advances in Conceptual Modeling - Challenging Perspectives, ER 2009 Workshops CoMoL, ETheCoM, FP-UML, MOST-ONISW, QoIS, RIGiM, SeCoGIS, Gramado, Brazil, November 9-12, 2009. Proceedings, pp. 244-253, 2009, Springer, 978-3-642-04946-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Software Product Lines, Goal Oriented Requirements Engineering |
14 | Robert C. Aitken |
The challenges of correlating silicon and models in high variability CMOS processes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of the 2009 International Symposium on Physical Design, ISPD 2009, San Diego, California, USA, March 29 - April 1, 2009, pp. 181-182, 2009, ACM, 978-1-60558-449-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
design validation |
14 | Gerd Dauenhauer, Thomas Aschauer, Wolfgang Pree |
Variability in Automation System Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSR ![In: Formal Foundations of Reuse and Domain Engineering, 11th International Conference on Software Reuse, ICSR 2009, Falls Church, VA, USA, September 27-30, 2009. Proceedings, pp. 116-125, 2009, Springer, 978-3-642-04210-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Kiyoo Itoh 0001 |
Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 273-274, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet |
14 | Christa Schwanninger, Iris Groher, Christoph Elsner, Martin Lehofer |
Variability Modelling throughout the Product Line Lifecycle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MoDELS ![In: Model Driven Engineering Languages and Systems, 12th International Conference, MODELS 2009, Denver, CO, USA, October 4-9, 2009. Proceedings, pp. 685-689, 2009, Springer, 978-3-642-04424-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Rémi Blanc, Mauricio Reyes 0001, Christof Seiler, Gábor Székely |
Conditional Variability of Statistical Shape Models Based on Surrogate Variables. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (1) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2009, 12th International Conference, London, UK, September 20-24, 2009, Proceedings, Part II, pp. 84-91, 2009, Springer, 978-3-642-04270-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Sarah E. Geneser, Robert M. Kirby, Brian Wang, Bill Salter, Sarang C. Joshi |
Incorporating Patient Breathing Variability into a Stochastic Model of Dose Deposition for Stereotactic Body Radiation Therapy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPMI ![In: Information Processing in Medical Imaging, 21st International Conference, IPMI 2009, Williamsburg, VA, USA, July 5-10, 2009. Proceedings, pp. 688-700, 2009, Springer, 978-3-642-02497-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
stochastic dose deposition modeling, respiratory-induced organ motion, stereotactic body radiation therapy, stochastic collocation, polynomial chaos |
14 | Miguel Miranda, Bart Dierickx, Paul Zuber, Petr Dobrovolný, F. Kutscherauer, Philippe Roussel, Pavel Poliakov |
Variability aware modeling of SoCs: From device variations to manufactured system yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 10th International Symposium on Quality of Electronic Design (ISQED 2009), 16-18 March 2009, San Jose, CA, USA, pp. 547-553, 2009, IEEE Computer Society, 978-1-4244-2952-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Palakorn Achananuparp, Xiaohua Hu 0001, Christopher C. Yang |
Addressing the Variability of Natural Language Expression in Sentence Similarity with Semantic Structure of the Sentences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PAKDD ![In: Advances in Knowledge Discovery and Data Mining, 13th Pacific-Asia Conference, PAKDD 2009, Bangkok, Thailand, April 27-30, 2009, Proceedings, pp. 548-555, 2009, Springer, 978-3-642-01306-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
sentence semantics, verbargument structure, structural similarity, semantic equivalence, Sentence similarity, textual entailment |
14 | Stan Jarzabek |
Pragmatic strategies for variability management in product lines in small- to medium-size companies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPLC ![In: Software Product Lines, 13th International Conference, SPLC 2009, San Francisco, California, USA, August 24-28, 2009, Proceedings, pp. 327, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP BibTeX RDF |
|
14 | Bruno Santana da Silva, Simone Diniz Junqueira Barbosa, Julio César Sampaio do Prado Leite |
Using Cases in Variability Analysis to Promote the Design of Flexible, Adaptable and Adaptive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICECCS ![In: 14th IEEE International Conference on Engineering of Complex Computer Systems, ICECCS 2009, Potsdam, Germany, 2-4 June 2009, pp. 220-229, 2009, IEEE Computer Society, 978-0-7695-3702-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
14 | Lerong Cheng, Puneet Gupta 0001, Costas J. Spanos, Kun Qian 0014, Lei He 0001 |
Physically justifiable die-level modeling of spatial variation in view of systematic across wafer variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 46th Design Automation Conference, DAC 2009, San Francisco, CA, USA, July 26-31, 2009, pp. 104-109, 2009, ACM, 978-1-60558-497-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
leakage analysis, process variaion, timing, SSTA |
14 | Emmanuel Guigon, Pierre Baraduc, Michel Desmurget |
Optimality, stochasticity, and variability in motor behavior. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 24(1), pp. 57-68, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Model, Noise, Motor control |
14 | Cedric Rabasse, Richard M. Guest, Michael C. Fairhurst |
A New Method for the Synthesis of Signature Data With Natural Variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part B ![In: IEEE Trans. Syst. Man Cybern. Part B 38(3), pp. 691-699, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Javid Jaffari, Mohab Anis |
Variability-Aware Bulk-MOS Device Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(2), pp. 205-216, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Diana Marculescu, Siddharth Garg |
Process-Driven Variability Analysis of Single and Multiple Voltage-Frequency Island Latency-Constrained Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(5), pp. 893-905, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Patrick Kenny, Pierre Ouellet, Najim Dehak, Vishwa Gupta, Pierre Dumouchel |
A Study of Interspeaker Variability in Speaker Verification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Speech Audio Process. ![In: IEEE Trans. Speech Audio Process. 16(5), pp. 980-988, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Milosh V. Ivanovich, Paul G. Fitzpatrick |
Throughput metrics in beyond 3G wireless systems with complex rate variability and QoS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIMRC ![In: Proceedings of the IEEE 19th International Symposium on Personal, Indoor and Mobile Radio Communications, PIMRC 2008, 15-18 September 2008, Cannes, French Riviera, France, pp. 1-5, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Yijun Yu, Alexei Lapouchnian, Sotirios Liaskos, John Mylopoulos, Julio César Sampaio do Prado Leite |
From Goals to High-Variability Software Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMIS ![In: Foundations of Intelligent Systems, 17th International Symposium, ISMIS 2008, Toronto, Canada, May 20-23, 2008, Proceedings, pp. 1-16, 2008, Springer, 978-3-540-68122-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Ashish Uthama, Rafeef Abugharbieh, Samantha J. Palmer, Anthony Traboulsee, Martin J. McKeown |
Invariant 3D spharm features for characterizing fMRI activations in ROIs while minimizing effects of intersubject anatomical variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2008 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008, pp. 560-563, 2008, IEEE, 978-1-4244-2003-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Noha Youssry El-Zehiry, Manuel Casanova, Adel Elmaghraby |
Variability of the relative corpus callosum cross sectional area between dyslexic and normally developed brains. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2008 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008, pp. 436-439, 2008, IEEE, 978-1-4244-2003-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Sonia I. Gonçalves, Fetsje Bijma, Petra J. W. Pouwels, Marianne A. Jonker, Joost P. A. Kuijer, Rob M. Heethaar, Fernando Henrique Lopes da Silva, Jan C. de Munck |
Inter-subject variability of resting state brain activity explored using a data and model-driven approach in combination with EEG-FMRI. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2008 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Paris, France, May 14-17, 2008, pp. 608-611, 2008, IEEE, 978-1-4244-2003-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Chenjie Gu, Jaijeet S. Roychowdhury |
An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to SRAM cells and ring oscillators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 754-761, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Feng Wang 0004, Xiaoxia Wu, Yuan Xie 0001 |
Variability-driven module selection with joint design time optimization and post-silicon tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 2-9, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Marvin K. Nakayama |
Run-length variability of two-stage multiple comparisons with the best for steady-state simulations and its implications for choosing first-stage run lengths. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 2008 Winter Simulation Conference, Global Gateway to Discovery, WSC 2008, InterContinental Hotel, Miami, Florida, USA, December 7-10, 2008, pp. 252-259, 2008, WSC, 978-1-4244-2708-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Sebastian Adam, Jörg Dörr |
The Role of Service Abstraction and Service Variability and Its Impact on Requirements Engineering for Service-Oriented Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COMPSAC ![In: Proceedings of the 32nd Annual IEEE International Computer Software and Applications Conference, COMPSAC 2008, 28 July - 1 August 2008, Turku, Finland, pp. 631-634, 2008, IEEE Computer Society, 978-0-7695-3262-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
service oriented architecture, requirements engineering, product line engineering |
14 | Mingfang Wu, Andrew Turpin, Justin Zobel |
An investigation on a community's web search variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACSC ![In: Computer Science 2008, Thirty-First Australasian Computer Science Conference (ACSC2008), Wollongong, NSW, Australia, January 22-25, 2008, pp. 117-126, 2008, Australian Computer Society, 978-1-920682-55-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP BibTeX RDF |
community search behaviour, search context, search log analysis, web search |
14 | Raian Ali, Fabiano Dalpiaz, Paolo Giorgini |
Location-Based Variability for Mobile Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CAiSE ![In: Advanced Information Systems Engineering, 20th International Conference, CAiSE 2008, Montpellier, France, June 16-20, 2008, Proceedings, pp. 575-578, 2008, Springer, 978-3-540-69533-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Anna Hjalmarsson, Jens Edlund |
Human-Likeness in Utterance Generation: Effects of Variability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PIT ![In: Perception in Multimodal Dialogue Systems, 4th IEEE Tutorial and Research Workshop on Perception and Interactive Technologies for Speech-Based Systems, PIT 2008, Kloster Irsee, Germany, June 16-18, 2008, Proceedings, pp. 252-255, 2008, Springer, 978-3-540-69368-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Siddharth Garg, Diana Marculescu |
System-level mitigation of WID leakage power variability using body-bias islands. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 273-278, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
design, algorithms |
14 | Hamed F. Dadgour, Vivek De, Kaustav Banerjee |
Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2008 International Conference on Computer-Aided Design, ICCAD 2008, San Jose, CA, USA, November 10-13, 2008, pp. 270-277, 2008, IEEE Computer Society, 978-1-4244-2820-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Neil Loughran, Pablo Sánchez 0002, Alessandro Garcia 0001, Lidia Fuentes |
Language Support for Managing Variability in Architectural Models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC@ETAPS ![In: Software Composition - 7th International Symposium, SC@ETAPS 2008, Budapest, Hungary, March 29-30, 2008. Proceedings, pp. 36-51, 2008, Springer, 978-3-540-78788-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Desok Kim, Yunhwan Seo, Woo Ram Jung, Chan-Hyun Youn |
Detection of Long Term Variations of Heart Rate Variability in Normal Sinus Rhythm and Atrial Fibrillation ECG Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMEI (2) ![In: Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, BMEI 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2, pp. 404-408, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Jianhua Zhang, Xing-Yu Wang, Mahdi Mahfouf, Derek A. Linkens |
Use of Heart Rate Variability Analysis for Quantitatively Assessing Operator's Mental Workload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMEI (1) ![In: Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, BMEI 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 1, pp. 668-672, 2008, IEEE Computer Society, 978-0-7695-3118-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Desok Kim, Yunhwan Seo, Sook-hyun Kim, Suntae Jung |
Short Term Analysis of Long Term Patterns of Heart Rate Variability in Subjects under Mental Stress. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BMEI (2) ![In: Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, BMEI 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2, pp. 487-491, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Khurram Waheed, Robert Bogdan Staszewski |
Mitigation of CMOS device variability in the transmitter amplitude path using Digital RF Processing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 568-571, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Rodrigo Jaramillo-Ramirez, Javid Jaffari, Mohab Anis |
Variability-aware design of subthreshold devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 1196-1199, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Flora Yu-Hui Yeh, Marcus Gallagher |
An empirical study of the sample size variability of optimal active learning using Gaussian process regression. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2008, part of the IEEE World Congress on Computational Intelligence, WCCI 2008, Hong Kong, China, June 1-6, 2008, pp. 3787-3794, 2008, IEEE, 978-1-4244-1820-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
14 | Ralph Mietzner, Frank Leymann, Mike P. Papazoglou |
Defining Composite Configurable SaaS Application Packages Using SCA, Variability Descriptors and Multi-tenancy Patterns. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIW ![In: Third International Conference on Internet and Web Applications and Services, ICIW 2008, 8-13 June 2008, Athens, Greece, pp. 156-161, 2008, IEEE Computer Society, 978-0-7695-3163-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Multi-Tenancy Patterns, Application Templates, SaaS, SCA |
14 | Ana Belén Ramos, Gil González-Rodríguez, Ana Colubi, María Angeles Gil |
Asymptotic Tests for the Variance of a Fuzzy Random Variable Using the DK-Metric. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMPS ![In: Soft Methods for Handling Variability and Imprecision, Selected papers from the 4th International Conference on Soft Methods in Probability and Statistics, SMPS 2008, Toulouse, France, September 8-10, 2008, pp. 140-146, 2008, Springer, 978-3-540-85026-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Generalized metric between fuzzy numbers, Variance of a fuzzy random variable, Hypothesis testing, Fuzzy random variable |
14 | Ángela Blanco-Fernández, Norberto Corral, Gil González-Rodríguez, María Asunción Lubiano |
Some Properties of the dK-Variance for Interval-Valued Random Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMPS ![In: Soft Methods for Handling Variability and Imprecision, Selected papers from the 4th International Conference on Soft Methods in Probability and Statistics, SMPS 2008, Toulouse, France, September 8-10, 2008, pp. 331-337, 2008, Springer, 978-3-540-85026-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Random interval, d K -Metric, Estimation, Variance |
14 | Juan Helen Zhou, Jagath C. Rajapakse |
Modeling hemodynamic variability with fuzzy features for detecting brain activation from fMR time-series. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 16(6), pp. 541-549, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
|
|