The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASPLOS"( http://dblp.L3S.de/Venues/ASPLOS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/asplos

Publication years (Num. hits)
1982 (27) 1987 (27) 1989 (28) 1991 (29) 1992 (25) 1994 (30) 1996 (26) 1998 (29) 2000 (25) 2002 (26) 2004 (25) 2006 (40) 2008 (33) 2009 (30) 2010 (34) 2011 (34) 2012 (38) 2013 (47) 2014 (53) 2015 (51) 2016 (58) 2017 (59) 2018 (58) 2019 (77) 2020 (89) 2021 (76) 2022 (81)
Publication types (Num. hits)
inproceedings(1128) proceedings(27)
Venues (Conferences, Journals, ...)
ASPLOS(1155)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 539 occurrences of 353 keywords

Results
Found 1155 publication records. Showing 1155 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Guilherme Ottoni, David I. August Communication optimizations for global multi-threaded instruction scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF graph min-cut, communication, synchronization, data-flow analysis, multi-threading, instruction scheduling
1Bumyong Choi, Leo Porter 0001, Dean M. Tullsen Accurate branch prediction for short threads. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chip multiprocessors, branch prediction
1Jonathan M. McCune, Bryan Parno, Adrian Perrig, Michael K. Reiter, Arvind Seshadri How low can you go?: recommendations for hardware-supported minimal TCB code execution. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF late launch, secure execution, trusted computing
1Susan J. Eggers, James R. Larus (eds.) Proceedings of the 13th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2008, Seattle, WA, USA, March 1-5, 2008 Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
1Chinnakrishnan S. Ballapuram, Ahmad Sharif, Hsien-Hsin S. Lee Exploiting access semantics and program behavior to reduce snoop power in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF MESI protocol, internal and external snoops, self-modifying code, chip multiprocessors
1Xiaoxin Chen, Tal Garfinkel, E. Christopher Lewis, Pratap Subrahmanyam, Carl A. Waldspurger, Dan Boneh, Jeffrey S. Dwoskin, Dan R. K. Ports Overshadow: a virtualization-based approach to retrofitting protection in commodity operating systems. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cloaking, multi-shadowing, operating systems, virtual machine monitors, hypervisors, memory protection, VMM
1Shekhar Srikantaiah, Mahmut T. Kandemir, Mary Jane Irwin Adaptive set pinning: managing shared caches in chip multiprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inter-processor, intra-processor, set pinning, CMP, shared cache
1Vinod Ganapathy, Matthew J. Renzelmann, Arini Balakrishnan, Michael M. Swift, Somesh Jha The design and implementation of microdrivers. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reliability, device drivers, program partitioning
1Erik Winfree Toward molecular programming with DNA. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF molecular programming, DNA
1Russ Cox, Tom Bergan, Austin T. Clements, M. Frans Kaashoek, Eddie Kohler Xoc, an extension-oriented compiler for systems programming. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF extension-oriented compilers
1Ioana Burcea, Stephen Somogyi, Andreas Moshovos, Babak Falsafi Predictor virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF predictor virtualization, caches, metadata, memory hierarchy
1Luk Van Ertvelde, Lieven Eeckhout Dispersing proprietary applications as benchmarks through code mutation. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF benchmark generation, code mutation
1Man-Lap Li, Pradeep Ramachandran, Swarup Kumar Sahoo, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Understanding the propagation of hard errors to software and implications for resilient system design. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF architecture, error detection, fault injection, permanent fault
1Yaron Weinsberg, Danny Dolev, Tal Anker, Muli Ben-Yehuda, Pete Wyckoff Tapping into the fountain of CPUs: on operating system support for programmable devices. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF operating systems, programming model, offloading
1Michal Wegiel, Chandra Krintz The mapping collector: virtual memory support for generational, parallel, and concurrent compaction. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel, concurrent, virtual memory, compaction
1Arindam Mallik, Jack Cosgrove, Robert P. Dick, Gokhan Memik, Peter A. Dinda PICSEL: measuring user-perceived performance to control dynamic frequency scaling. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal emergency, user-perceived performance, power management, dynamic voltage and frequency scaling
1Edmund B. Nightingale, Daniel Peek, Peter M. Chen, Jason Flinn Parallelizing security checks on commodity hardware. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF security, performance, parallel, operating systems, speculative execution
1Philip M. Wells, Koushik Chakraborty, Gurindar S. Sohi Adapting to intermittent faults in multicore systems. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF overcommitted system, intermittent faults
1Smitha Shyam, Kypros Constantinides, Sujay Phadke, Valeria Bertacco, Todd M. Austin Ultra low-cost defect protection for microprocessor pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-protection, reliability, pipelines, low-cost
1Jaidev P. Patwardhan, Vijeta Johri, Chris Dwyer, Alvin R. Lebeck A defect tolerant self-organizing nanoscale SIMD architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-organizing, SIMD, data parallel, DNA, defect tolerance, nanocomputing, bit-serial
1Shashidhar Mysore, Banit Agrawal, Navin Srivastava, Sheng-Chih Lin, Kaustav Banerjee, Timothy Sherwood Introspective 3D chips. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Architectures, hardware support for profiling, introspection
1Motohiro Kawahito, Hideaki Komatsu, Takao Moriyama, Hiroshi Inoue, Toshio Nakatani A new idiom recognition framework for exploiting hardware-assist instructions. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF VMX, hardware-assist instructions, idiom recognition, topological embedding, java, JIT
1Armando Solar-Lezama, Liviu Tancau, Rastislav Bodík, Sanjit A. Seshia, Vijay A. Saraswat Combinatorial sketching for finite programs. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sketching, SAT
1JaeWoong Chung, Chi Cao Minh, Austen McDonald, Travis Skare, Hassan Chafi, Brian D. Carlstrom, Christos Kozyrakis, Kunle Olukotun Tradeoffs in transactional memory virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF OS support, virtualization, transactional memory, chip multi-processor
1Satish Narayanasamy, Cristiano Pereira, Brad Calder Recording shared memory dependencies using strata. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF strata, debugging, dependencies, shared memory, logging, replay
1Jason F. Cantin, Mikko H. Lipasti, James E. Smith 0001 Stealth prefetching. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiprocessors, prefetching, coherence
1Stijn Eyerman, Lieven Eeckhout, Tejas Karkhanis, James E. Smith 0001 A performance counter architecture for computing accurate CPI components. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hardware performance counter architecture, superscalar processor performance modeling
1Weihaw Chuang, Satish Narayanasamy, Ganesh Venkatesh, Jack Sampson, Michael Van Biesbrouck, Gilles Pokam, Brad Calder, Osvaldo Colavin Unbounded page-based transactional memory. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel programming, concurrency, transactions, transactional memory, virtual memory
1Katherine E. Coons, Xia Chen, Doug Burger, Kathryn S. McKinley, Sundeep K. Kushwaha A spatial path scheduling algorithm for EDGE architectures. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EDGE architecture, path scheduling, simulated annealing, instruction scheduling
1Jeff Da Silva, J. Gregory Steffan A probabilistic pointer analysis for speculative optimizations. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speculative optimization, dependence analysis, pointer analysis
1Taeho Kgil, Shaun D'Souza, Ali G. Saidi, Nathan L. Binkert, Ronald G. Dreslinski, Trevor N. Mudge, Steven K. Reinhardt, Krisztián Flautner PicoServer: using 3D stacking technology to enable a compact energy efficient chip multiprocessor. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D stacking technology, tier 1 server, web/file/streaming server, low power, chip multiprocessor, full-system simulation
1Martha Mercaldi, Steven Swanson, Andrew Petersen 0001, Andrew Putnam, Andrew Schwerin, Mark Oskin, Susan J. Eggers Instruction scheduling for a tiled dataflow architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction scheduling, dataflow, tiled architectures
1Peter Damron, Alexandra Fedorova, Yossi Lev, Victor Luchangco, Mark Moir, Daniel Nussbaum Hybrid transactional memory. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transactional memory
1Keith Adams, Ole Agesen A comparison of software and hardware techniques for x86 virtualization. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MMU, nested paging, SVM, virtualization, virtual machine monitor, dynamic binary translation, TLB, x86, VT
1Nathan L. Binkert, Ali G. Saidi, Steven K. Reinhardt Integrated network interfaces for high-bandwidth TCP/IP. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TCP/IP performance, network, interfaces, zero-copy
1Angshuman Parashar, Anand Sivasubramaniam, Sudhanva Gurumurthi SlicK: slice-based locality exploitation for efficient redundant multithreading. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF backward slice extraction, redundant threading, microarchitecture, transient faults
1Min Xu, Mark D. Hill, Rastislav Bodík A regulated transitive reduction (RTR) for longer memory race recording. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF race recording, multithreading, determinism
1Jason E. Miller, Anant Agarwal Software-based instruction caching for embedded processors. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF instruction cache, chaining, software caching
1Mahim Mishra, Timothy J. Callahan, Tiberiu Chelcea, Girish Venkataramani, Seth Copen Goldstein, Mihai Budiu Tartan: evaluating spatial computation for whole program execution. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low power, asynchronous circuits, reconfigurable hardware, defect tolerance, spatial computation, dataflow machine
1Jedidiah R. Crandall, Gary Wassermann, Daniela Alvim Seabra de Oliveira, Zhendong Su 0001, Shyhtsun Felix Wu, Frederic T. Chong Temporal search: detecting hidden malware timebombs with virtual machines. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual machines, malware, worms
1Taliver Heath, Ana Paula Centeno, Pradeep George, Luiz E. Ramos, Yogesh Jaluria, Ricardo Bianchini Mercury and freon: temperature emulation and management for server systems. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF temperature modeling, energy conservation, thermal management, server clusters
1Benjamin C. Lee, David M. Brooks Accurate and efficient regression modeling for microarchitectural performance and power prediction. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF simulation, statistics, regression, inference, microarchitecture
1Mazen Kharbutli, Xiaowei Jiang, Yan Solihin, Guru Venkataramani, Milos Prvulovic Comprehensively and efficiently protecting the heap. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF heap attacks, heap security, heap server, computer security
1Michael I. Gordon, William Thies, Saman P. Amarasinghe Exploiting coarse-grained task, data, and pipeline parallelism in stream programs. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Raw, coarse-grained dataflow, streams, multicore, software pipelining, StreamIt
1Mendel Rosenblum Impact of virtualization on computer architecture and operating systems. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Koushik Chakraborty, Philip M. Wells, Gurindar S. Sohi Computation spreading: employing hardware migration to specialize CMP cores on-the-fly. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic specialization, cache locality
1David Tarditi, Sidd Puri, Jose Oglesby Accelerator: using data parallelism to program GPUs for general-purpose uses. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF graphics processing units, data parallelism, just-in time compilation
1Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
1John Paul Shen, Margaret Martonosi (eds.) Proceedings of the 12th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2006, San Jose, CA, USA, October 21-25, 2006 Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
1Trishul M. Chilimbi, Vinod Ganapathy HeapMD: identifying heap-based bugs using anomaly detection. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF metrics, debugging, anomaly detection, bugs, heap
1Engin Ipek, Sally A. McKee, Rich Caruana, Bronis R. de Supinski, Martin Schulz 0001 Efficiently exploring architectural design spaces via predictive modeling. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensitivity studies, artificial neural networks, performance prediction, design space exploration
1Michael D. Bond, Kathryn S. McKinley Bell: bit-encoding online memory leak detection. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-overhead monitoring, memory leaks, probabilistic approaches, managed languages
1Ethan Schuchman, T. N. Vijaykumar A program transformation and architecture support for quantum uncomputation. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF QLA, uncomputation, quantum computing
1Stephen T. Jones, Andrea C. Arpaci-Dusseau, Remzi H. Arpaci-Dusseau Geiger: monitoring the buffer cache in a virtual machine environment. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF virtual machine, inference
1Michelle J. Moravan, Jayaram Bobba, Kevin E. Moore, Luke Yen, Mark D. Hill, Ben Liblit, Michael M. Swift, David A. Wood 0001 Supporting nested transactional memory in logTM. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logTM, transactional memory, nesting
1Sorav Bansal, Alex Aiken Automatic generation of peephole superoptimizers. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code selection, peephole optimization, superoptimization
1Shan Lu 0001, Joseph A. Tucek, Feng Qin, Yuanyuan Zhou 0001 AVIO: detecting atomicity violations via access interleaving invariants. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF concurrent program, hardware support, concurrency bug, bug detection, program invariant, atomicity violation
1Xin Li 0020, Marian Boldt, Reinhard von Hanxleden Mapping esterel onto a multi-threaded embedded processor. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-power processing, concurrency, reactive systems, multi-threading, esterel
1Xiaodong Li, Zhenmin Li, Francis M. David, Pin Zhou, Yuanyuan Zhou, Sarita V. Adve, Sanjeev Kumar Performance directed energy management for main memory and disks. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory and disk energy management, multiple power mode device, low power design, adaptation algorithms, control algorithms
1Pin Zhou, Vivek Pandey, Jagadeesan Sundaresan, Anand Raghuraman, Yuanyuan Zhou, Sanjeev Kumar Dynamic tracking of page miss ratio curve for memory management. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF resource allocation, power management, memory management
1Shubu Mukherjee, Kathryn S. McKinley (eds.) Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004 Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
1John Regehr, Alastair Reid 0001 HOIST: a system for automatically deriving static analyzers for embedded systems. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF static analysis, abstract interpretation, program verification, object code
1Yasushi Saito, Svend Frølund, Alistair C. Veitch, Arif Merchant, Susan Spence FAB: building distributed enterprise disk arrays from commodity components. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF replication, consensus, storage, voting, erasure coding, disk array
1Mihai Budiu, Girish Venkataramani, Tiberiu Chelcea, Seth Copen Goldstein Spatial computation. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-power, spatial computation, dataflow machine, application-specific hardware
1Rajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler Scalable selective re-execution for EDGE architectures. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF EDGE architectures, load-store dependence prediction, mis-speculation recovery, selective re-execution, selective replay, speculative dataflow machines
1Mohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CMP, migration, SMT, heat, power density
1Greg Bronevetsky, Daniel Marques, Keshav Pingali, Peter K. Szwed, Martin Schulz 0001 Application-level checkpointing for shared memory programs. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault-tolerance, checkpointing, openMP, shared-memory programs
1Timothy E. Denehy, John Bent, Florentina I. Popovici, Andrea C. Arpaci-Dusseau, Remzi H. Arpaci-Dusseau Deconstructing storage arrays. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF storage, RAID
1Virantha N. Ekanayake, Clinton Kelly IV, Rajit Manohar An ultra low-power processor for sensor networks. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF picojoule computing, sensor network processor, sensor networks, wireless, asynchronous, low-energy, event-driven
1Xipeng Shen, Yutao Zhong 0001, Chen Ding 0001 Locality phase prediction. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF locality analysis and optimization, phase hierarchy, program phase analysis and prediction, reconfigurable architecture, dynamic optimization
1Christopher R. Lumb, Richard A. Golding D-SPTF: decentralized request distribution in brick-based storage systems. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF brick based storage, distributed systems, storage systems, disk scheduling, decentralized systems
1Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Formal online methods for voltage/frequency control in multiple clock domain microprocessors. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF MCD processors, formal methods, dynamic voltage/frequency scaling
1Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton Continual flow pipelines. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF CFP, non-blocking, latency tolerance, instruction window
1Jared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk Fingerprinting: bounding soft-error detection latency and bandwidth. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dual modular redundancy (DMR), error detection, soft errors, backwards error recovery (BER)
1G. Edward Suh, Jae W. Lee, David Zhang 0001, Srinivas Devadas Secure program execution via dynamic information flow tracking. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF format string, hardware tagging, buffer overflow
1Xiaotong Zhuang, Tao Zhang 0037, Santosh Pande HIDE: an infrastructure for efficiently protecting information leakage on the address bus. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF address bus leakage protection, secure processor
1Chen-Yong Cher, Antony L. Hosking, T. N. Vijaykumar Software prefetching for mark-sweep garbage collection: hardware analysis and software redesign. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF buffered prefetch, prefetch-on-grey, garbage collection, prefetching, cache architecture, breadth-first, mark-sweep, depth-first
1Rodric M. Rabbah, Hariharan Sandanagobalane, Mongkol Ekpanyapong, Weng-Fai Wong Compiler orchestrated prefetching via speculation and predication. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF prefetching, speculation, precomputation, predicated execution
1David E. Lowell, Yasushi Saito, Eileen J. Samberg Devirtualizable virtual machines enabling general, single-node, online maintenance. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF online maintenance, planned downtime, virtual machines, availability
1Jaehyuk Huh 0001, Jichuan Chang, Doug Burger, Gurindar S. Sohi Coherence decoupling: making use of incoherence. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coherence decoupling, coherence misses, speculative cache lookup, false sharing
1Lance Hammond, Brian D. Carlstrom, Vicky Wong, Ben Hertzberg, Michael K. Chen, Christos Kozyrakis, Kunle Olukotun Programming with transactional coherence and consistency (TCC). Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF feedback optimization, transactions, multiprocessor architecture
1Perry H. Wang, Jamison D. Collins, Hong Wang 0003, Dongkeun Kim, Bill Greene, Kai-Ming Chan, Aamir B. Yunus, Terry Sych, Stephen F. Moore, John Paul Shen Helper threads via virtual multithreading on an experimental itanium® 2 processor-based platform. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DB2 database, cache miss prefetching, itanium processor, switch-on-event, multithreading, helper thread, PAL
1Matthias Hauswirth, Trishul M. Chilimbi Low-overhead memory leak detection using adaptive statistical profiling. Search on Bibsonomy ASPLOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-overhead monitoring, runtime analysis, memory leaks
1Antonia Zhai, Christopher B. Colohan, J. Gregory Steffan, Todd C. Mowry Compiler optimization of scalar value communication between speculative threads. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Muthian Sivathanu, Andrea C. Arpaci-Dusseau, Remzi H. Arpaci-Dusseau Evolving RPC for active storage. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Kevin M. Lepak, Mikko H. Lipasti Temporally silent stores. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Hyong-youb Kim, Vijay S. Pai, Scott Rixner Increasing web server throughput with network interface data caching. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Eddie Kohler, Robert Tappan Morris, Benjie Chen Programming language optimizations for modular router configurations. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Heng Zeng, Carla Schlatter Ellis, Alvin R. Lebeck, Amin Vahdat ECOSystem: managing energy as a first class operating system resource. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Ravi Rajwar, James R. Goodman Transactional lock-free execution of lock-based programs. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Tao Li 0006, Lizy Kurian John, Anand Sivasubramaniam, Narayanan Vijaykrishnan, Juan Rubio 0001 Understanding and improving operating system effects in control flow prediction. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Robert Cooksey, Stéphan Jourdan, Dirk Grunwald A stateless, content-directed data prefetching mechanism. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Shubhendu S. Mukherjee, Federico Silla, Peter J. Bannon, Joel S. Emer, Steven Lang, David Webb A comparative study of arbitration algorithms for the Alpha 21364 pipelined router. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Deborah Estrin Keynote address: Sensor network research: emerging challenges for architecture, systems, and languages. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Raksit Ashok, Saurabh Chheda, Csaba Andras Moritz Cool-Mem: combining statically speculative memory accessing with selective address translation for energy efficiency. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Emmett Witchel, Josh Cates, Krste Asanovic Mondrian memory protection. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Jeffrey T. Oplinger, Monica S. Lam Enhancing software reliability with speculative threads. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1J. Adam Butts, Gurindar S. Sohi Dynamic dead-instruction detection and elimination. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Darko Kirovski, Milenko Drinic, Miodrag Potkonjak Enabling trusted software integrity. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
1Kazunori Ogata, Hideaki Komatsu, Toshio Nakatani Bytecode fetch optimization for a Java interpreter. Search on Bibsonomy ASPLOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF pipelined interpreter, stack caching, Java, performance, superscalar processor, PowerPC, bytecode interpreter
Displaying result #801 - #900 of 1155 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license