|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 10061 occurrences of 3641 keywords
|
|
|
Results
Found 17347 publication records. Showing 17347 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
14 | Chi-Hung Chi, Xiang Li, Kwok-Yan Lam |
Understanding the Object Retrieval Dependence of Web Page Access. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 10th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2002), 11-16 October 2002, Fort Worth, Texas, USA, pp. 413-420, 2002, IEEE Computer Society, 0-7695-1840-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
14 | Magnus E. Bjornsson, Liuba Shrira |
BuddyCache: high-performance object storage for collaborative strong-consistency applications in a WAN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Object-Oriented Programming Systems, Languages and Applications, OOPSLA 2002, Seattle, Washington, USA, November 4-8, 2002., pp. 26-39, 2002, ACM, 1-58113-471-1. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
fine-grain sharing, object storage systems, fault-tolerance, transactions, wide-area network, cooperative caching |
14 | Jason E. Fritts, Roger D. Chamberlain |
Breaking the Memory Bottleneck with an Optical Data Path. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 35th Annual Simulation Symposium (ANSS-35 2002), San Diego, California, USA, 14-18 April 2002, pp. 352-362, 2002, IEEE Computer Society, 0-7695-1552-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
bandwidth bottleneck, processor-memory gap, performance evaluation, media processing, optical bus |
14 | Jih-Kwon Peir, Shih-Chang Lai, Shih-Lien Lu, Jared Stark, Konrad Lai |
Bloom filtering cache misses for accurate data speculation and prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 189-198, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
bloom filter, instruction scheduling, data cache, data prefetching, data speculation |
14 | Sumit Mohanty, Seonil Choi, Ju-wook Jang, Viktor K. Prasanna |
A Model-Based Methodology for Application Specific Energy Efficient Data Path Design Using FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 13th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2002), 17-19 July 2002, San Jose, CA, USA, pp. 76-87, 2002, IEEE Computer Society, 0-7695-1712-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
reconfigurable computing, embedded system design, energy optimization |
14 | Jochen Triesch, Brian T. Sullivan, Mary M. Hayhoe, Dana H. Ballard |
Saccade contingent updating in virtual reality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ETRA ![In: Proceedings of the Eye Tracking Research & Application Symposium, ETRA 2002, New Orleans, Louisiana, USA, March 25-27, 2002, pp. 95-102, 2002, ACM, 1-58113-467-3. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
limbus tracking, saccade contingent updating, virtual reality, eye tracking, saccades, change blindness |
14 | Pavan Balaji, Piyush Shivam, Pete Wyckoff, Dhabaleswar K. Panda 0001 |
High Performance User Level Sockets over Gigabit Ethernet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CLUSTER ![In: 2002 IEEE International Conference on Cluster Computing (CLUSTER 2002), 23-26 September 2002, Chicago, IL, USA, pp. 179-186, 2002, IEEE Computer Society, 0-7695-1745-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
User-level protocol, Interprocessor Architecture, Sockets, Gigabit Ethernet |
14 | Dongkeun Kim, Donald Yeung |
Design and evaluation of compiler algorithms for pre-execution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-X), San Jose, California, USA, October 5-9, 2002., pp. 159-170, 2002, ACM Press, 1-58113-574-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
14 | Chi-Keung Luk, Todd C. Mowry |
Architectural and compiler support for effective instruction prefetching: a cooperative approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 19(1), pp. 71-109, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
compiler optimization, instruction prefetching |
14 | Aniruddha S. Vaidya, Anand Sivasubramaniam, Chita R. Das |
Impact of Virtual Channels and Adaptive Routing on Application Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 12(2), pp. 223-237, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
performance evaluation, interconnection network, Adaptive routing, mesh network, virtual channels, architectural simulation |
14 | Alessandro Brawerman, Elias Procópio Duarte Jr. |
An Isochronous Testing Strategy for Hierarchical Adaptive Distributed System-Level Diagnosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 17(2), pp. 185-195, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
hierarchical diagnosis, network fault management, fault-tolerance, distributed systems, distributed diagnosis |
14 | David W. Matula |
Improved Table Lookup Algorithms for Postscaled Division. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 15th IEEE Symposium on Computer Arithmetic (Arith-15 2001), 11-17 June 2001, Vail, CO, USA, pp. 101-, 2001, IEEE Computer Society, 0-7695-1150-3. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | Yasushi Shimono, Bernady O. Apduhan, Itsujiro Arita, Yoshimasa Ohnishi |
Evaluating the Performance of a DSM Cluster with Improved Communication Subsystem. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICOIN ![In: The 15th International Conference on Information Networking, ICOIN 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001, pp. 561-567, 2001, IEEE Computer Society, 0-7695-0951-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | Marios Mavronicolas, Paul G. Spirakis |
The price of selfish routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings on 33rd Annual ACM Symposium on Theory of Computing, July 6-8, 2001, Heraklion, Crete, Greece, pp. 510-519, 2001, ACM, 1-58113-349-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
14 | Luiz André Barroso, Kourosh Gharachorloo, Andreas Nowatzyk, Ben Verghese |
Impact of Chip-Level Integration on Performance of OLTP Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 3-14, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
chip-level integration, database workloads, multiprocessors, memory system performance |
14 | Mike J. G. Lewis, L. E. M. Brackenbury |
An Instruction Buffer for a Low-Power DSP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: 6th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2000), 2-6 April 2000, Eilat, Israel, pp. 176-, 2000, IEEE Computer Society, 0-7695-0586-4. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Carlos O'Ryan, Fred Kuhns, Douglas C. Schmidt, Ossama Othman, Jeff Parsons |
The Design and Performance of a Pluggable Protocols Framework for Real-Time Distributed Object Computing Middleware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware ![In: Middleware 2000, IFIP/ACM International Conference on Distributed Systems Platforms, New York, NY, USA, April 4-7, 2000, Proceedings, pp. 372-395, 2000, Springer, 3-540-67352-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
14 | Martin S. Schmookler, Ramesh C. Agarwal, Fred G. Gustavson |
Series Approximation Methods for Divide and Square Root in the Power3(TM) Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Symposium on Computer Arithmetic ![In: 14th IEEE Symposium on Computer Arithmetic (Arith-14 '99), 14-16 April 1999, Adelaide, Australia, pp. 116-123, 1999, IEEE Computer Society, 0-7695-0116-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
14 | Luca Benini, Giovanni De Micheli, Antonio Lioy, Enrico Macii, Giuseppe Odasso, Massimo Poncino |
Timed Supersetting and the Synthesis of Telescopic Units. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 19-21 February 1998, Lafayette, LA, USA, pp. 331-337, 1998, IEEE Computer Society, 0-8186-8409-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Pipelined Design, Logic Synthesis, Timing Analysis |
14 | Chirag S. Patel, Sek M. Chai, Sudhakar Yalamanchili, David E. Schimmel |
Power/Performance Trade-offs for Direct Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PCRCW ![In: Parallel Computer Routing and Communication, Second International Workshop, PCRCW'97, Atlanta, Georgia, USA, June 26-27, 1997, Proceedings, pp. 231-246, 1997, Springer, 3-540-64571-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | Graham P. Jones, Nigel P. Topham |
A Limitation Study into Access Decoupling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings, pp. 1102-1111, 1997, Springer, 3-540-63440-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
14 | Bruce Hillyer, Abraham Silberschatz |
Random I/O Scheduling in Online Tertiary Storage Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 1996 ACM SIGMOD International Conference on Management of Data, Montreal, Quebec, Canada, June 4-6, 1996., pp. 195-204, 1996, ACM Press, 978-0-89791-794-0. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
14 | Todd M. Austin, Gurindar S. Sohi |
High-Bandwidth Address Translation for Multiple-Issue Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 158-167, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
14 | Keshab K. Parhi, Takao Nishitani |
VLSI architectures for discrete wavelet transforms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 1(2), pp. 191-202, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
14 | Bernardo de la Ossa, Ana Pont, Julio Sahuquillo, José A. Gil 0001 |
Referrer graph: a low-cost web prediction algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 831-838, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
latency reduction, web prediction, web prefetching |
14 | Nicolas Schiper, Fernando Pedone |
Fast, flexible, and highly resilient genuine fifo and causal multicast algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 418-422, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
crash-stop failures, fifo and causal multicast, latency-optimality, unreliable networks |
14 | Sreeram Potluri, Ping Lai, Karen A. Tomko, Sayantan Sur, Yifeng Cui, Mahidhar Tatineni, Karl W. Schulz, William L. Barth, Amitava Majumdar 0001, Dhabaleswar K. Panda 0001 |
Quantifying performance benefits of overlap using MPI-2 in a seismic modeling application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 24th International Conference on Supercomputing, 2010, Tsukuba, Ibaraki, Japan, June 2-4, 2010, pp. 17-25, 2010, ACM, 978-1-4503-0018-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
MPI-2, one-sided, RDMA, latency hiding |
14 | Gavin O'Gorman, Stephen Blott |
Improving stream correlation attacks on anonymous networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2009 ACM Symposium on Applied Computing (SAC), Honolulu, Hawaii, USA, March 9-12, 2009, pp. 2024-2028, 2009, ACM, 978-1-60558-166-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
simulation, anonymity, latency |
14 | Ali Dasdan, Xinh Huynh |
User-centric content freshness metrics for search engines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW ![In: Proceedings of the 18th International Conference on World Wide Web, WWW 2009, Madrid, Spain, April 20-24, 2009, pp. 1129-1130, 2009, ACM, 978-1-60558-487-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
document age, metrics, search engine, monitoring, latency, crawling, freshness |
14 | Yelena Rykalova, Lev B. Levitin, Richard Brower |
Multiprocessor networks with small buffers: theory and simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim ![In: Proceedings of the 2009 Spring Simulation Multiconference, SpringSim 2009, San Diego, California, USA, March 22-27, 2009, 2009, SCS/ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
critical phenomena in networks, network saturation, latency, queueing networks, supercomputers, network performance |
14 | Robson Dornelles, Felipe Sampaio, Daniel Palomino 0001, Luciano Volcan Agostini |
Transforms and quantization design targeting the H.264/AVC intra prediction constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
IQ modules, Q, T, IT, video coding, high performance, H.264/AVC, VLSI design, low latency, intra-prediction |
14 | Gulsum Zeynep Gurkas Aydin, Hakima Chaouchi, Abdul Halim Zaim |
eHIP: early update for Host Identity Protocol. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 6th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2009, Nice, France, September 2-4, 2009, 2009, ACM, 978-1-60558-536-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
early HIP, early update, handover latency, handover, anticipation, HIP, micro mobility, Host Identity Protocol |
14 | Pingguo Huang, Yutaka Ishibashi, Norishige Fukushima, Shinji Sugawara |
Collaborative haptic play with building blocks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the International Conference on Advances in Computer Entertainment Technology, ACE 2009, Athens, Greece, October 29-31, 2009, pp. 277-280, 2009, ACM, 978-1-60558-864-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
play with building blocks, collaborative work, packet loss, network latency, subjective assessment, haptic media |
14 | Yossi Azar, Iftah Gamzu, Xiaoxin Yin |
Multiple intents re-ranking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 41st Annual ACM Symposium on Theory of Computing, STOC 2009, Bethesda, MD, USA, May 31 - June 2, 2009, pp. 669-678, 2009, ACM, 978-1-60558-506-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
min-sum set cover, minimum latency set cover, multiple intents, approximation algorithms, ranking |
14 | Ting-Yuan Yeh, Tzone I. Wang |
A Ratio-Based Update Scheme for Mobile Agent Location Management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES-AMSTA ![In: Agent and Multi-Agent Systems: Technologies and Applications, Third KES International Symposium, KES-AMSTA 2009, Uppsala, Sweden, June 3-5, 2009. Proceedings, pp. 100-109, 2009, Springer, 978-3-642-01664-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
tracking phase, message delivery phase, update messages, forwarding pointers, mobile agent, location management, network latency |
14 | Mohamed Alnas, Irfan Awan, D. R. W. Holton |
Enhanced Mobile IP handoff using link layer information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iiWAS ![In: iiWAS'2009 - The Eleventh International Conference on Information Integration and Web-based Applications and Services, 14-16 December 2009, Kuala Lumpur, Malaysia, pp. 344-349, 2009, ACM, 978-1-60558-660-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
handoff latency, mobility management, mobile IP, packet loss, seamless handoff |
14 | Ivan Tanev, Katsunori Shimohara |
Evolution of Agent, Remotely Operating a Scale Model of a Car Through a Latent Video Feedback. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 52(2), pp. 263-283, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Anticipatory modeling, Driving agent, Feedback latency, Genetic algorithms |
14 | Aniruddha G. Shet, P. Sadayappan, David E. Bernholdt, Jarek Nieplocha, Vinod Tipparaju |
A framework for characterizing overlap of communication and computation in parallel applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 11(1), pp. 75-90, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Performance instrumentation and monitoring, Parallel applications, Latency hiding, Communication-computation overlap |
14 | Grenville J. Armitage |
Client-Side Adaptive Search Optimisation for Online Game Server Discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networking ![In: NETWORKING 2008, Ad Hoc and Sensor Networks, Wireless Networks, Next Generation Internet , 7th International IFIP-TC6 Networking Conference, Singapore, May 5-9, 2008, Proceedings, pp. 494-505, 2008, Springer, 978-3-540-79548-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Server discovery, search optimisation, latency estimation |
14 | Bonam Kim, Junmo Yang, Ilsun You |
A survey of NETLMM in all-IP-based wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 5th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2008, Yilan, Taiwan, September 10-12, 2008, pp. 60, 2008, ACM, 978-1-60558-089-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
handoff latency, network-based localized mobility management (NETLMM), proxy-based mobile IPv6 (PMIPv6), mobility management, MIPv6, wireless mesh networks (WMNs) |
14 | Kari Kolehmainen, Mika Hongisto, Teemu Kanstrén |
Optimizing dynamic performance scaling for user interface performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Mobility Conference ![In: Proceedings of the 5th International Conference on Mobile Technology, Applications, and Systems, Mobility Conference 2008, Yilan, Taiwan, September 10-12, 2008, pp. 17, 2008, ACM, 978-1-60558-089-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
user interface latency, power management, performance measurement, dynamic voltage and frequency scaling |
14 | Nicola Concer, Michele Petracca, Luca P. Carloni |
Distributed flit-buffer flow control for networks-on-chip. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 6th International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 215-220, 2008, ACM, 978-1-60558-470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
latency-insensitive protocols, network-on-chip |
14 | Takeshi Fujimoto, Yutaka Ishibashi, Shinji Sugawara |
Networked penalty shootout with haptic media and video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Advances in Computer Entertainment Technology ![In: Proceedings of the International Conference on Advances in Computer Entertainment Technology, ACE 2008, Yokohama, Japan, December 3-5, 2008, pp. 76-82, 2008, ACM, 978-1-60558-393-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
penalty shootout, video, network latency, subjective assessment, haptic media |
14 | Sunghyun Cho, Jisu Kim, Jae-Hyun Kim |
Relay assisted soft handover in multihop cellular networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICUIMC ![In: Proceedings of the 2nd International Conference on Ubiquitous Information Management and Communication, ICUIMC 2008, Suwon, Korea, January 31 - February 01, 2008, pp. 136-139, 2008, ACM, 978-1-59593-993-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
handover latency, multihop cellular networks, service-interruption time, handover, soft handover |
14 | Vivek Vishnumurthy, Paul Francis |
On the difficulty of finding the nearest peer in p2p systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Internet Measurement Conference ![In: Proceedings of the 8th ACM SIGCOMM Internet Measurement Conference, IMC 2008, Vouliagmeni, Greece, October 20-22, 2008, pp. 9-14, 2008, ACM, 978-1-60558-334-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
last-hop, nearest peer, latency |
14 | Gerhard P. Hancke 0002, Markus G. Kuhn |
Attacks on time-of-flight distance bounding channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WISEC ![In: Proceedings of the First ACM Conference on Wireless Network Security, WISEC 2008, Alexandria, VA, USA, March 31 - April 02, 2008, pp. 194-202, 2008, ACM, 978-1-59593-814-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
data modulation, distance-bounding protocols, location-based authentication, round-trip time measurement, speed of light, RFID, wireless communication, radio channels, low-latency communication |
14 | Bahman Javadi, Jemal H. Abawajy, Mohammad K. Akbari |
Analytical modeling of interconnection networks in heterogeneous multi-cluster systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 40(1), pp. 29-47, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Interconnection networks, Heterogeneity, Latency, Analytical modeling, Multi-cluster |
14 | Jung-Lok Yu, Jin-Soo Kim 0001, Seung Ryoul Maeng |
A runtime resolution scheme for priority boost conflict in implicit coscheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 40(1), pp. 1-28, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Priority boost conflict, Runtime contention, Rescheduling latency, Process reordering, Clusters, Performance comparison, Coscheduling |
14 | Shu-Yu Fu, Chuan-Ming Liu |
An effective kNN search protocol in wireless broadcast environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 925-929, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
memory, latency, data broadcasting, r-trees, tuning time |
14 | Aminu Mohammed, Mohamed Ould-Khaoua, Lewis M. Mackenzie |
An Efficient Counter-Based Broadcast Scheme for Mobile Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EPEW ![In: Formal Methods and Stochastic Models for Performance Evaluation, Fourth European Performance Engineering Workshop, EPEW 2007, Berlin, Germany, September 27-28, 2007, Proceedings, pp. 275-283, 2007, Springer, 978-3-540-75210-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Broadcast storm problem, Saved-rebroadcast, MANETs, Latency, Flooding, Reachability |
14 | Mohiuddin Ahmed, Mohd. Adam Suhaimi, Quazi Shoyweeb Md. Faisal, Shariq Haseeb |
Evaluating QoS performance of streaming video on both IPv4 and IPv6 protocols. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim (1) ![In: Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 1, pp. 109-116, 2007, SCS/ACM, 1-56555-312-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
media delivery index (MDI), quality-of-services (QoS), throughput, latency, jitter, hybrid network, streaming video, test-bed |
14 | Yelena Rykalova, Lev B. Levitin, Richard Brower |
Performance model of a multiprocessor interconnection network: theory and simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SpringSim (1) ![In: Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 1, pp. 100-105, 2007, SCS/ACM, 1-56555-312-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP BibTeX RDF |
critical phenomena in networks, network saturation, latency, queueing networks, supercomputers, network performance |
14 | Michael Ferdman, Babak Falsafi |
Last-Touch Correlated Data Streaming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 105-115, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
predictor lookahead, last-touch correlated data streaming, address-correlating predictor, cache block address identification, correlation data storage, program active memory footprint, prediction lookahead, off-chip correlation data lookup, scalable on-chip table, low-latency lookup, on-chip storage, last-touch predictor, prefetch, superscalar processor, cycle-accurate simulation |
14 | Jiang Lin, Hongzhong Zheng, Zhichun Zhu, Zhao Zhang 0010, Howard David |
DRAM-Level Prefetching for Fully-Buffered DIMM: Design, Performance and Power Saving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPASS ![In: 2007 IEEE International Symposium on Performance Analysis of Systems and Software, April 25-27, 2007, San Jose, California, USA, Proceedings, pp. 94-104, 2007, IEEE Computer Society, 1-4244-1081-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
channel bandwidth utilization, DRAM-level prefetching, dynamic random access memory, fully-buffered DIMM, dual in-line memory module, redundant bandwidth, memory block, L2 cache block, DRAM power consumption, SPEC2000 program, software cache prefetching, idle memory latency, power saving, multicore processor, memory controller, interconnect structure, DRAM chip |
14 | Adam Serbinski, Abdolreza Abhari |
Improving the delivery of multimedia embedded in HTML over HTTP on wireless networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MobiMedia ![In: Proceedings of the 3rd International Conference on Mobile Multimedia Communications, MobiMedia 2007, Nafpaktos, Greece, August 27-29, 2007, pp. 23, 2007, ICST, 978-963-06-2670-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
wireless networks, prefetching, web server, multimedia networking, network latency |
14 | Adam Serbinski, Abdolreza Abhari |
Improving the delivery of multimedia embedded in web pages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 15th International Conference on Multimedia 2007, Augsburg, Germany, September 24-29, 2007, pp. 779-782, 2007, ACM, 978-1-59593-702-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded objects, web multimedia, prefetching, network Latency |
14 | Fotis Tsakiridis, Panayiotis Bozanis, Dimitrios Katsaros 0001 |
Interpolating the air for optimizing wireless data broadcast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MOBIWAC ![In: Proceedings of the Fifth ACM International Workshop on Mobility Management & Wireless Access, MOBIWAC 2007, Chania, Crete Island, Greece, October 22, 2007, pp. 112-119, 2007, ACM, 978-1-59593-809-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
interpolation search, mobile computing, wireless networks, indexing, latency, energy consumption, tuning time |
14 | Mahmoud Lotfi Anhar, Mohammad Ali Jabraeil Jamali |
The Optimum Location of Delay Latches Between Dynamic Pipeline Stages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 7th International Symposium, APPT 2007, Guangzhou, China, November 22-23, 2007, Proceedings, pp. 14-17, 2007, Springer, 978-3-540-76836-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Minimum, MAL, Pipeline, Latency, Collision, Table, Reservation, Latch, Average |
14 | Jinkyu Jeong, Euiseong Seo, Dongsung Kim, Jinsoo Kim 0001, Joonwon Lee, Yung-Joon Jung, Donghwan Kim, Kanghee Kim |
Transparent and Selective Real-Time Interrupt Services for Performance Improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEUS ![In: Software Technologies for Embedded and Ubiquitous Systems, 5th IFIP WG 10.2 International Workshop, SEUS 2007, Santorini Island, Greece, May 2007. Revised Papers, pp. 283-292, 2007, Springer, 978-3-540-75663-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Real-time, Throughput, Latency, Scheduling algorithm, Embedded operating systems, Interrupt handling |
14 | Wahyudi Martono, Hasimah Ali, Momoh-Jimoh E. Salami |
Keystroke Pressure-Based Typing Biometrics Authentication System Using Support Vector Machines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (2) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part II, pp. 85-93, 2007, Springer, 978-3-540-74475-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
keystroke, maximum pressure, time latency and support vector machine, security, Biometric |
14 | Jianjun Hu, Heqing Guan, Hua Zhong 0007 |
A decentralized quickest response algorithm for grid service discovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Infoscale ![In: Proceedings of the 2nf International Conference on Scalable Information Systems, Infoscale 2007, Suzhou, China, June 6-8, 2007, pp. 13, 2007, ACM, 978-1-59593-757-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
grid computing, service discovery, decentralization, network latency |
14 | Hongli Xu, Liusheng Huang, Junmin Wu, Yang Wang 0015, Ben Xu, Jichun Wang, Dashan Wang |
Wireless fire monitoring system for ancient buildings. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Infoscale ![In: Proceedings of the 2nf International Conference on Scalable Information Systems, Infoscale 2007, Suzhou, China, June 6-8, 2007, pp. 42, 2007, ACM, 978-1-59593-757-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fire monitoring, sensor networks, wireless, latency, energy |
14 | Costin Iancu, Erich Strohmaier |
Optimizing communication overlap for high-speed networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 35-45, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
logGP, performance model, variability, high-speed networks, latency hiding, logP, communication overlap |
14 | Huaqun Guo, Lek Heng Ngoh, Wai-Choong Wong, Joo Geok Tan |
Comparison of in-network versus Staggered Multicast video distribution models. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 28(3), pp. 373-394, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Video-in-network, Staggered Multicast, Concurrent stream number, Startup latency, Optical network |
14 | Lewis W. F. Li, Frederick W. B. Li, Rynson W. H. Lau |
A Trajectory-Preserving Synchronization Method for Collaborative Visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 989-996, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
motion synchronization, network latency, Collaborative visualization, distributed synchronization |
14 | Nahdia Tabassum, Quazi Ehsanul Kabir Mamun, Yoshiyori Urano |
COSEN: A Chain Oriented Sensor Network for Efficient Data Collection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITNG ![In: Third International Conference on Information Technology: New Generations (ITNG 2006), 10-12 April 2006, Las Vegas, Nevada, USA, pp. 262-267, 2006, IEEE Computer Society, 0-7695-2497-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
energy efficiency and latency, Sensor network, data collection, data transmission |
14 | Ivan Tanev, Michal Joachimczak, Katsunori Shimohara |
Evolution of driving agent, remotely operating a scale model of a car with obstacle avoidance capabilities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2006, Proceedings, Seattle, Washington, USA, July 8-12, 2006, pp. 1785-1792, 2006, ACM, 1-59593-186-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
anticipatory modeling, driving agent, feedback latency, genetic algorithms |
14 | Robert J. Kauffman, Amy Ping Wu |
Optimal Timing for Software Functionality Additions by Internet Portals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 39th Hawaii International International Conference on Systems Science (HICSS-39 2006), CD-ROM / Abstracts Proceedings, 4-7 January 2006, Kauai, HI, USA, 2006, IEEE Computer Society, 0-7695-2507-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
functionality additions, value latency, vendor strategies, timing, Economics, portals, e-marketing |
14 | Aurélien Ortiz, Jacques Jorda, Abdelaziz Mzoughi |
Toward a New Direction on Data Management in Grids. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 377-378, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
storage device issues, grid resource utilization, data management, WAN, data transfer, scientific application, network latency, network bandwidth |
14 | Tsozen Yeh, Joseph Arul, Jia-Shian Wu, I-Fan Chen, Kuo-Hsin Tan |
Using File Grouping to Improve the Disk Performance (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 365-366, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Ext3 file system, file grouping, disk performance, CPU speed, secondary storage device speed, disk I/O latency, file sequential access, program-based grouping, Reiser file system, Linux kernel |
14 | Pietro Cicotti, Scott B. Baden |
Short Paper: Asynchronous programming with Tarragon. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 375-376, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
run time virtualized process structure, asynchronous programming, Tarragon run time library, actor-based programming model, latency tolerant asynchronous event driven simulation, meta data |
14 | Changxun Wu, Randal C. Burns |
Improving I/O Performance of Clustered Storage Systems by Adaptive Request Distribution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPDC ![In: Proceedings of the 15th IEEE International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006, pp. 207-217, 2006, IEEE, 1-4244-0307-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
clustered storage system, adaptive request distribution, adaptive load distribution protocol, decentralized storage server, tunable hashing technique, inter-server communication latency, load balancing, client-server system, network configuration, data redundancy, I/O performance |
14 | Lap-Fai Leung, Chi-Ying Tsui |
Optimal link scheduling on improving best-effort and guaranteed services performance in network-on-chip systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 43rd Design Automation Conference, DAC 2006, San Francisco, CA, USA, July 24-28, 2006, pp. 833-838, 2006, ACM, 1-59593-381-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
routing, network-on-chip, latency |
14 | Yung-Yuan Chen |
Concurrent Detection of Control Flow Errors by Hybrid Signature Monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 54(10), pp. 1298-1313, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
error-detection latency, Concurrent error detection, signature scheme, watchdog processor, error-detection coverage |
14 | John W. Haskins Jr., Kevin Skadron |
Accelerated warmup for sampled microarchitecture simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 2(1), pp. 78-108, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Reuse latency, sampled simulation, warmup |
14 | Santosh Biswas, Siddhartha Mukhopadhyay, Amit Patra |
A Formal Approach to On-Line Monitoring of Digital VLSI Circuits: Theory, Design and Implementation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 21(5), pp. 503-537, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
detection latency, discrete event systems, ordered binary decision diagrams, fault detection and diagnosis |
14 | Junli Yuan, Hung Chi, Qibin Sun |
A more precise model for web retrieval. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WWW (Special interest tracks and posters) ![In: Proceedings of the 14th international conference on World Wide Web, WWW 2005, Chiba, Japan, May 10-14, 2005 - Special interest tracks and posters, pp. 926-927, 2005, ACM, 1-59593-051-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
model, performance, dependency, latency, web retrieval |
14 | Seng Lin Shee, Sri Parameswaran, Newton Cheung |
Novel architecture for loop acceleration: a case study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 297-302, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
tightly coupled, architecture, ASIP, hardware/software partitioning, coprocessor, loop optimization, latency hiding, loop pipelining, loop acceleration |
14 | Philippe Golle |
Dealing Cards in Poker Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITCC (1) ![In: International Symposium on Information Technology: Coding and Computing (ITCC 2005), Volume 1, 4-6 April 2005, Las Vegas, Nevada, USA, pp. 506-511, 2005, IEEE Computer Society, 0-7695-2315-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Latency, Shuffle, Mix, ElGamal, Mental Poker |
14 | Yi Zhang, Steve Haga, Rajeev Barua |
Execution History Guided Instruction Prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 27(2), pp. 129-147, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
hardware prefetching, instruction cache, memory latency, instruction prefetching |
14 | Rami G. Mukhtar, Stephen V. Hanly, Moshe Zukerman, Fraser Cameron |
A Model for the Performance Evaluation of Packet Transmissions Using Type-II Hybrid ARQ over a Correlated Error Channel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Wirel. Networks ![In: Wirel. Networks 10(1), pp. 7-16, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Type-II Hybrid ARQ, incremental redundancy, correlated error channels, packet transmission latency and loss, wireless data networks |
14 | Roger Zimmermann, Moses Pawar, Dwipal A. Desai, Min Qin, Hong Zhu |
High resolution live streaming with the HYDRA architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Entertain. ![In: Comput. Entertain. 2(4), pp. 16, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
high-definition media, remote performance, human-computer interaction, streaming, latency |
14 | Jane Hillston, Leïla Kloul, Amdjed Mokhtari |
Towards a Feasible Active Networking Scenario. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Telecommun. Syst. ![In: Telecommun. Syst. 27(2-4), pp. 413-438, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
node latency, performance analysis, packets loss, active rules, active nodes |
14 | Jouni Smed, Henrik Niinisalo, Harri Hakonen |
Realizing bullet time effect in multiplayer games with local perception filters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NETGAMES ![In: Proceedings of the 3rd Workshop on Network and System Support for Games, NETGAMES 2004, Portland, Oregon, USA, August 30, 2004, pp. 121-128, 2004, ACM, 1-58113-942-X. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
bullet time, networking, virtual environments, computer games, latency, multiplayer |
14 | Gunjan Khanna, Saurabh Bagchi, John Rogers |
Failure Handling in a Reliable Multicast Protocol for Improving Buffer Utilization and Accommodating Heterogeneous Receivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 10th IEEE Pacific Rim International Symposium on Dependable Computing (PRDC 2004), 3-5 March 2004, Papeete, Tahiti, pp. 15-24, 2004, IEEE Computer Society, 0-7695-2076-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
tree based reliable multicast, message errors, slow or unresponsive receivers, end-to-end latency, buffer utilization |
14 | Srikanth T. Srinivasan, Ravi Rajwar, Haitham Akkary, Amit Gandhi, Michael Upton |
Continual flow pipelines. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASPLOS ![In: Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS 2004, Boston, MA, USA, October 7-13, 2004, pp. 107-119, 2004, ACM, 1-58113-804-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CFP, non-blocking, latency tolerance, instruction window |
14 | Geyong Min, Mohamed Ould-Khaoua |
Communication Delay in Wormhole-Switched Tori Networks under Bursty Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 26(1), pp. 77-94, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
performance modeling/analysis, interconnection networks, multimedia applications, multicomputers, message latency |
14 | Ying Cai, Kien A. Hua |
Sharing Multicast Videos Using Patching Streams. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Tools Appl. ![In: Multim. Tools Appl. 21(2), pp. 125-146, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
multicast, video on demand, multimedia communications, patching, performance study, service latency |
14 | Laxmi N. Bhuyan, Hu-Jun Wang |
Switch MSHR: A Technique to Reduce Remote Read Memory Access Time in CC-NUMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(5), pp. 617-632, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
CC-NUMA multiprocessor, memory latency problem, miss status holding register, interconnection network, execution-driven simulation |
14 | Laurence E. LaForge, Kirk F. Korver, M. Sami Fadali |
What Designers of Bus and Network Architectures Should Know about Hypercubes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 52(4), pp. 525-544, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Hypercube fault tolerance, hypercube latency, Hamming graphs, K-cubes, Moore graphs, Moore Bound, C-cubes, performability, quorums, configuration architectures, Lee distance |
14 | Giuseppe Ciaccio |
Messaging on Gigabit Ethernet: Some Experiments with GAMMA and Other Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Clust. Comput. ![In: Clust. Comput. 6(2), pp. 143-151, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
performance evaluation, MPI, message passing, cluster computing, Gigabit Ethernet, low-latency communication |
14 | Dan Chen 0001, Bu-Sung Lee, Wentong Cai 0001, Stephen John Turner |
Design and Development of a Cluster Gateway for Cluster-based HLA Distributed Virtual Simulation Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 36th Annual Simulation Symposium (ANSS-36 2003), Orlando, Florida, USA, March 30 - April 2, 2003, pp. 193-200, 2003, IEEE Computer Society, 0-7695-1911-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
cluster, scalability, latency, HLA, gateway, RTI |
14 | Li Liu 0018, Wentong Cai 0001, Stephen John Turner, Guangya Li |
Improving Data Filtering Accuracy in Hierarchical Federations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Annual Simulation Symposium ![In: Proceedings 36th Annual Simulation Symposium (ANSS-36 2003), Orlando, Florida, USA, March 30 - April 2, 2003, pp. 209-215, 2003, IEEE Computer Society, 0-7695-1911-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Hierarchical Federations, Data Loss, Latency, Information Hiding, Data Distribution Management, Data Redundancy |
14 | Arno Wagner, Thomas Dübendorfer, Bernhard Plattner, Roman Hiestand |
Experiences with worm propagation simulations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WORM ![In: Proceedings of the 2003 ACM Workshop on Rapid Malcode, WORM 2003, Washington, DC, USA, October 27, 2003, pp. 34-41, 2003, ACM Press, 1-58113-785-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
simulation, latency, bandwidth, internet worms |
14 | Kien A. Hua, Jung-Hwan Oh 0001, Khanh Vu |
An adaptive video multicast scheme for varying workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 8(4), pp. 258-269, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
video multicast, performance evaluation, adaptive scheduling, system throughput, service latency |
14 | Tomás Plachetka |
(Quasi-) Thread-Safe PVM and (Quasi-) Thread-Safe MPI without Active Polling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PVM/MPI ![In: Recent Advances in Parallel Virtual Machine and Message Passing Interface, 9th European PVM/MPI Users' Group Meeting, Linz, Austria, September 29 - October 2, 2002, Proceedings, pp. 296-305, 2002, Springer, 3-540-44296-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
thread-safety, MPI, efficiency, latency, PVM, polling |
14 | Nihar R. Mahapatra, Jiangjiang Liu 0002, Krishnan Sundaresan |
The performance advantage of applying compression to the memory system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MSP/ISMM ![In: Proceedings of The Workshop on Memory Systems Performance (MSP 2002), June 16, 2002 and The International Symposium on Memory Management (ISMM 2002), June 20-21, 2002, Berlin, Germany, pp. 86-96, 2002, ACM, 1-58113-539-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
address compression, instruction compression, cache, data compression, entropy, memory, latency, Markov models, bandwidth, storage, traffic, register file, lossless compression |
14 | Chi-Keung Luk, Robert Muth, Harish Patil, Richard Weiss 0001, P. Geoffrey Lowney, Robert S. Cohn |
Profile-guided post-link stride prefetching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 167-178, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
address strides, post-link optimizations, profiling, data prefetching, memory latency |
14 | Ashutosh Dutta, Subir Das, Wai Chen, Anthony J. McAuley, Henning Schulzrinne, Onur Altintas |
MarconiNet supporting streaming media over localized wireless multicast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Workshop Mobile Commerce ![In: Proceedings of the 2nd International Workshop on Mobile Commerce, Atlanta, Georgia, USA, September 28, 2002, pp. 61-69, 2002, ACM, 1-58113-600-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
join/leave latency, marconinet, multicast, streaming |
14 | Murali Mohan, Rohini Krishnan, Anshul Kumar, M. Balakrishnan |
A New Divide and Conquer Method for Achieving High Speed Division in Hardware. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC/VLSI Design ![In: Proceedings of the 7th Asia and South Pacific Design Automation Conference (ASP-DAC 2002), and the 15th International Conference on VLSI Design (VLSI Design 2002), Bangalore, India, January 7-11, 2002, pp. 535-540, 2002, IEEE Computer Society, 0-7695-1299-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Carry Propagate Adders, Pipelineability, Throughput, Latency, Rounding, Carry Save Adders, Radix, SRT |
|
|