The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Multithreading with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1993 (16) 1994-1995 (28) 1996 (31) 1997 (26) 1998 (27) 1999 (49) 2000 (43) 2001 (42) 2002 (52) 2003 (55) 2004 (74) 2005 (76) 2006 (82) 2007 (83) 2008 (75) 2009 (76) 2010 (46) 2011 (21) 2012 (19) 2013 (15) 2014 (24) 2015 (17) 2016 (18) 2017 (15) 2018 (17) 2019 (17) 2020 (17) 2021-2022 (23) 2023-2024 (9)
Publication types (Num. hits)
article(227) book(4) incollection(7) inproceedings(838) phdthesis(17)
Venues (Conferences, Journals, ...)
IPDPS(42) PPoPP(30) ISCA(27) IEEE Trans. Computers(25) HPCA(21) ICS(19) Euro-Par(18) MICRO(18) IEEE Trans. Parallel Distribut...(16) IEEE PACT(15) PACT(15) ASPLOS(13) HiPC(13) ICPP(13) PLDI(12) CASES(11) More (+10 of total 414)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1414 occurrences of 593 keywords

Results
Found 1093 publication records. Showing 1093 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
13Girija J. Narlikar, Guy E. Blelloch Space-Efficient Implementation of Nested Parallelism. Search on Bibsonomy PPoPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multithreading, dynamic scheduling, Concurrent C, nested parallelism, space efficiency, language implementation
13Chandrasekhar Narayanaswami 0001 A parallel polygon-clipping algorithm. Search on Bibsonomy Vis. Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF vertex labeling, parallel, multithreading, Polygon clipping, polygon clipping, polygon clipping
13Robert D. Blumofe, Matteo Frigo, Christopher F. Joerg, Charles E. Leiserson, Keith H. Randall Dag-Consistent Distributed Shared Memory. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dag consistency, cactus stack, multithreading, distributed shared memory, dynamic scheduling, memory model, page faults
13Henk L. Muller, Paul W. A. Stallard, David H. D. Warren Implementing the Data Diffusion Machine Using Crossbar Routers. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multithreading, multitasking, COMA, virtual shared memory
9Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
9John A. Stratton, Vinod Grover, Jaydeep Marathe, Bastiaan Aarts, Mike Murphy, Ziang Hu, Wen-mei W. Hwu Efficient compilation of fine-grained SPMD-threaded programs for multicore CPUs. Search on Bibsonomy CGO The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore, CUDA, CPU, SPMD
9Susan J. Eggers 2010 Athena lecture. Search on Bibsonomy PLDI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF invited talk
9Chun-Te Wu, Wei-Hao Huang, Chih-Hao Liu, Wei-Jia Huang, Kai-Che Liu, Ludovic J. Angot A real-time video 2D-to-3D with the bilateral grid. Search on Bibsonomy SIGGRAPH Posters The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
9Stijn Eyerman, Lieven Eeckhout Probabilistic job symbiosis modeling for SMT processor scheduling. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simultaneous multi-threading (smt), symbiotic job scheduling, performance modeling
9Zhangxi Tan, Andrew Waterman, Rimas Avizienis, Yunsup Lee, Henry Cook, David A. Patterson 0001, Krste Asanovic RAMP gold: an FPGA-based architecture simulator for multiprocessors. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF simulation, FPGA, multiprocessors
9Hui Wang, Rama Sangireddy, Sandeep Baldawa Optimizing Instruction Scheduling through Combined In-Order and O-O-O Execution in SMT Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Wei Dong 0002, Peng Li 0001 A Parallel Harmonic-Balance Approach to Steady-State and Envelope-Following Simulation of Driven and Autonomous Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Atef Suleiman, John Miller Implementing Thread Cancellation in Multithreaded Prolog Systems. Search on Bibsonomy PADL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF concurrency, Prolog, threads
9Guillermo L. Taboada, Juan Touriño, Ramon Doallo Java for high performance computing: assessment of current research and practice. Search on Bibsonomy PPPJ The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cluster, Java, performance evaluation, message-passing, high performance computing, threads, InfiniBand, multi-core architectures
9Huaiqing He, Tianbao Wang, Qing Xu 0002, Yaoyu Xing Photon Mapping Parallel Based on Shared Memory System. Search on Bibsonomy CGIV The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Danilo Ravotto, Edgar E. Sánchez, Matteo Sonza Reorda, Giovanni Squillero Design validation of multithreaded architectures using concurrent threads evolution. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation based techniques, functional validation
9Antonino Tumeo, Simone Borgio, Davide Bosisio, Matteo Monchiero, Gianluca Palermo, Fabrizio Ferrandi, Donatella Sciuto A multiprocessor self-reconfigurable JPEG2000 encoder. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Guillaume Mercier, François Trahay, Elisabeth Brunet, Darius Buntinas NewMadeleine: An efficient support for high-performance networks in MPICH2. Search on Bibsonomy IPDPS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Kevin J. Hoffman, Patrick Eugster, Suresh Jagannathan Semantics-aware trace analysis. Search on Bibsonomy PLDI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semantic tracing, trace views, tracing, testing tools, automated debugging, debugging aids
9Yadan Deng, Ning Jing, Wei Xiong 0010, Chen Luo, Hongsheng Chen Hash Join Optimization Based on Shared Cache Chip Multi-processor. Search on Bibsonomy DASFAA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Radix-Join, Shared L2-Cache, Chip Multi-Processor, Cache Conflict
9JaeWoong Chung, Woongki Baek, Christos Kozyrakis Fast memory snapshot for concurrent programmingwithout synchronization. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transactional memory, snapshot
9Srinivas Chellappa, Franz Franchetti, Markus Püschel Computer generation of fast fourier transforms for the cell broadband engine. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dft, multibuffering, performance library, parallelization, streaming, fast fourier transform, multicore, program generation, cell be, automatic performance tuning
9Ivan Damgård, Martin Geisler 0001, Mikkel Krøigaard, Jesper Buus Nielsen Asynchronous Multiparty Computation: Theory and Implementation. Search on Bibsonomy Public Key Cryptography The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Da Qi Ren, Reiji Suda Power Efficient Large Matrices Multiplication by Load Scheduling on Multi-core and GPU Platform with CUDA. Search on Bibsonomy CSE (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Martin C. Herbordt, Md. Ashfaquzzaman Khan, Tony Dean Parallel Discrete Event Simulation of Molecular Dynamics Through Event-Based Decomposition. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jörg Mische, Sascha Uhrig, Florian Kluge, Theo Ungerer IPC Control for Multiple Real-Time Threads on an In-Order SMT Processor. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Shu-Hsuan Chou, Chien-Chih Chen, Chi-Neng Wen, Yi-Chao Chan, Tien-Fu Chen, Chao-Ching Wang, Jinn-Shyan Wang No cache-coherence: a single-cycle ring interconnection for multi-core L1-NUCA sharing on 3D chips. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF level-1 non-uniform cache architecture, ring interconnection, single-cycle transactions, multi-core, NOC, SOC, arbitration, memory structure
9Ruwei Yun, Baoyun Zhang, Zhigeng Pan Research on Using Cult3D and Java to Realize Virtual Assembly. Search on Bibsonomy Edutainment The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Virtual experiment, Cult3D, Java technology, Virtual assembly
9Lei Wang 0003, Niral Patel Improving Error Tolerance for Multithreaded Register Files. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Ram Rangan, Neil Vachharajani, Guilherme Ottoni, David I. August Performance scalability of decoupled software pipelining. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Decoupled software pipelining, performance analysis
9Taeho Kgil, Ali G. Saidi, Nathan L. Binkert, Steven K. Reinhardt, Krisztián Flautner, Trevor N. Mudge PicoServer: Using 3D stacking technology to build energy efficient servers. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D stacking technology, Tier-1/2/3 server, Low power, chip multiprocessor, full-system simulation
9Qin Wang 0007, Joseph F. JáJá Interactive High-Resolution Isosurface Ray Casting on Multicore Processors. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Bart Jacobs 0002, Frank Piessens, Jan Smans, K. Rustan M. Leino, Wolfram Schulte A programming model for concurrent object-oriented programs. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF verification condition generation, Aliasing, data races, ownership, modular reasoning, local reasoning
9Henry Wong, Anne Bracy, Ethan Schuchman, Tor M. Aamodt, Jamison D. Collins, Perry H. Wang, Gautham N. Chinya, Ankur Khandelwal Groen, Hong Jiang, Hong Wang 0003 Pangaea: a tightly-coupled IA32 heterogeneous chip multiprocessor. Search on Bibsonomy PACT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ia32, on-chip integration, chip multiprocessor, heterogeneous
9Arnab De, Abhik Roychoudhury, Deepak D'Souza Java memory model aware software validation. Search on Bibsonomy PASTE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Niels Brouwers, Peter Corke, Koen Langendoen A java compatible virtual machine for wireless sensor nodes. Search on Bibsonomy SenSys The full citation details ... 2008 DBLP  DOI  BibTeX  RDF java, sensor network
9Dong Liu 0016, Ralph Deters The Reverse C10K Problem for Server-Side Mashups. Search on Bibsonomy ICSOC Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF C10K, RC10K, Performance, Scalability, HTTP, Mashup, Client
9Barbara M. Chapman Managing Multicore with OpenMP (Extended Abstract). Search on Bibsonomy PVM/MPI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Fabio Mascarenhas, Roberto Ierusalimschy Efficient compilation of Lua for the CLR. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF clr, lua, compilers, dynamic languages
9Aqeel Mahesri, Daniel R. Johnson, Neal Clayton Crago, Sanjay J. Patel Tradeoffs in designing accelerator architectures for visual computing. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Samantika Subramaniam, Milos Prvulovic, Gabriel H. Loh PEEP: Exploiting predictability of memory dependences in SMT processors. Search on Bibsonomy HPCA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Meilian Xu, Parimala Thulasiraman, Ruppa K. Thulasiram Exploiting Data Locality in FFT Using Indirect Swap Network on Cell/B.E. Search on Bibsonomy HPCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cooley-Tukey Butterfly, Indirect Swap Network, FFT, Cell Broadband Engine
9Martín Abadi Automatic Mutual Exclusion and Atomicity Checks. Search on Bibsonomy Concurrency, Graphs and Models The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Martin Danek, Jiri Kadlec, Roman Bartosinski, Lukas Kohout Increasing the level of abstraction in FPGA-based designs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Carolina Bonacic, Carlos García 0001, Mauricio Marín, Manuel Prieto 0001, Francisco Tirado Exploiting Hybrid Parallelism in Web Search Engines. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Mauricio Marín, Veronica Gil-Costa, Carolina Bonacic A Search Engine Index for Multimedia Content. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Antonio L. Rodríguez, Pedro E. López-de-Teruel, Alberto Ruiz, Ginés García-Mateos, L. Fernóndez A Design Pattern for Component Oriented Development of Agent Based Multithreaded Applications. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Fernando Latorre, José González 0002, Antonio González 0001 Efficient resources assignment schemes for clustered multithreaded processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Rafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López 0001, José Duato The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Satoshi Yamada, Shigeru Kusakabe Effect of context aware scheduler on TLB. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9François Trahay, Elisabeth Brunet, Alexandre Denis 0001, Raymond Namyst A multithreaded communication engine for multicore architectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Hongzhou Chen, Lingdi Ping, Xuezeng Pan, Kuijun Lu, Xiaoping Chen A Dissipative Resource Distribution policy for SMT processors. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Daniel G. Chavarría-Miranda, Andrès Márquez, Jarek Nieplocha, Kristyn J. Maschhoff, Chad Scherrer Early experience with out-of-core applications on the Cray XMT. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Chengmo Yang, Alex Orailoglu A light-weight cache-based fault detection and checkpointing scheme for MPSoCs enabling relaxed execution synchronization. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF fault detection, checkpointing, fault recovery
9Cyril Briquet, Pierre-Arnoul de Marneffe Reproducible testing of distributed software with middleware virtualization and simulation. Search on Bibsonomy PADTAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF P2P grid, simulation, scheduling, performance evaluation, virtualization, code reuse, distributed testing
9Venkatesan Packirisamy, Yangchun Luo, Wei-Lung Hung, Antonia Zhai, Pen-Chung Yew, Tin-Fook Ngai Efficiency of thread-level speculation in SMT and CMP architectures - performance, power and thermal perspective. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Samar Yazdani, Joel Cambonie, Bernard Pottier Reconfiguralbe multimedia accelerator for mobile systems. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Carmelo Acosta, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MFLUSH: Handling Long-Latency Loads in SMT On-Chip Multiprocessors. Search on Bibsonomy ICPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Subhradyuti Sarkar, Dean M. Tullsen Compiler Techniques for Reducing Data Cache Miss Rate on a Multithreaded Architecture. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Kevin D. Kissell MIPS MT: A Multithreaded RISC Architecture for Embedded Real-Time Processing. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Armand Navabi, Xiangyu Zhang 0001, Suresh Jagannathan Quasi-static scheduling for safe futures. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF concurrency control, future, static program analysis
9John M. Mellor-Crummey Where will all the threads come from? Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallelism, compilers, applications, languages, multicore
9Shane Ryoo, Christopher I. Rodrigues, Sara S. Baghsorkhi, Sam S. Stone, David Blair Kirk, Wen-mei W. Hwu Optimization principles and application performance evaluation of a multithreaded GPU using CUDA. Search on Bibsonomy PPoPP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF parallel computing, GPU computing
9Niels Brouwers, Peter Corke, Koen Langendoen Darjeeling, a Java compatible virtual machine for microcontrollers. Search on Bibsonomy Middleware (Companion) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Java, sensor networks
9Chia-Jui Hsu, José Luis Pino, Shuvra S. Bhattacharyya Multithreaded simulation for synchronous dataflow graphs. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multithreaded simulation, scheduling, synchronous dataflow
9Zhiyi Huang 0001, Andrew Trotman, Jiaqi Zhang, Xiangfei Jia, Mariusz Nowostawski, Nathan Rountree, Paul Werstein Virtual Aggregated Processor in Multi-core Computers. Search on Bibsonomy PDCAT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Yan Luo, Jia Yu 0008, Jun Yang 0002, Laxmi N. Bhuyan Conserving network processor power consumption by exploiting traffic variability. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF scheduling, low power, Network processor, clock gating
9Miquel Moretó, Francisco J. Cazorla, Alex Ramírez, Mateo Valero MLP-Aware Dynamic Cache Partitioning. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Yosi Ben-Asher, Moshe Yuda Source Level Merging of Independent Programs. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kevin O'Brien, Kathryn M. O'Brien, Zehra Sura, Tong Chen 0001, Tao Zhang Supporting OpenMP on Cell. Search on Bibsonomy IWOMP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Sunam Pradhan, Arkady B. Zaslavsky A Smart Proxy for a Next Generation Web Services Transaction. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Stijn Eyerman, Lieven Eeckhout A Memory-Level Parallelism Aware Fetch Policy for SMT Processors. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Ta-Chung Tsai, Alejandro Russo, John Hughes 0001 A Library for Secure Multi-threaded Information Flow in Haskell. Search on Bibsonomy CSF The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Shuming Chen, Pengyong Ma FROCM: A Fair and Low-Overhead Method in SMT Processor. Search on Bibsonomy HPCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Jochen Quante Online Construction of Dynamic Object Process Graphs. Search on Bibsonomy CSMR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Pavel Zemcík, Martin Zádník AdaBoost Engine. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Klaus Schöffmann, Markus Fauster, Oliver Lampl, László Böszörményi An Evaluation of Parallelization Concepts for Baseline-Profile Compliant H.264/AVC Decoders. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Evaluation, Parallelization, Pipelining, H.264, Decoding, Multi-Threading, AVC
9Panagiotis E. Hadjidoukas, Vassilios V. Dimakopoulos Nested Parallelism in the OMPi OpenMP/C Compiler. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Iuri Fanti, Mattia C. F. Prosperi, Giovanni Ulivi, Alessandro Micarelli HIV-1 Coreceptor Usage Prediction via Indexed Local Kernel Smoothing Methods and Grid-Based Multiple Statistical Validation. Search on Bibsonomy CBMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Philip Garcia, Henry F. Korth Pipelined hash-join on multithreaded architectures. Search on Bibsonomy DaMoN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Srinivas Vadlamani, Stephen F. Jenks Architectural Considerations for Efficient Software Execution on Parallel Microprocessors. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Kevin Schaffer, Robert A. Walker 0001 A Prototype Multithreaded Associative SIMD Processor. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Han Wei, Mark Muir, Ioannis Nousias, Tughrul Arslan, Ahmet T. Erdogan Mapping Real Time Operating System on Reconfigurable Instruction Cell Based Architectures. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Perry H. Wang, Jamison D. Collins, Gautham N. Chinya, Hong Jiang, Xinmin Tian, Milind Girkar, Nick Y. Yang, Guei-Yuan Lueh, Hong Wang 0003 EXOCHI: architecture and programming environment for a heterogeneous multi-core multithreaded system. Search on Bibsonomy PLDI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF GPU, openMP, heterogeneous multi-cores
9João Lourenço, Gonçalo Cunha Testing patterns for software transactional memory engines. Search on Bibsonomy PADTAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF testing, concurrency, debugging, software transactional memory, testing patterns
9Corina S. Pasareanu, Willem Visser Symbolic Execution and Model Checking for Testing. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT. Search on Bibsonomy ICA3PP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Qingying Deng, Minxuan Zhang, Jiang Jiang Register File Management and Compiler Optimization on EDSMT. Search on Bibsonomy ISPA Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Qingying Deng, Minxuan Zhang, Jiang Jiang A Parallel Infrastructure on Dynamic EPIC SMT and Its Speculation Optimization. Search on Bibsonomy ISPA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Onur Aciiçmez, Werner Schindler, Çetin Kaya Koç Cache Based Remote Timing Attack on the AES. Search on Bibsonomy CT-RSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Remote Attack, AES, Timing Analysis, Side Channel Analysis, Cache Attack
9Joseph G. Slember, Priya Narasimhan Handling Emergent Nondeterminism in Replicated Services. Search on Bibsonomy WADS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9K. Scott Hemmert, Keith D. Underwood, Arun Rodrigues An architecture to perform NIC based MPI matching. Search on Bibsonomy CLUSTER The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
9Hon-Hing Wan, Yu-Kwong Kwok High Data Rate Video Transmission Using Parallel TCP Connections: Approaches and Performance Evaluation. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF parallel processing, protocols, TCP, video, NS-2
9Ronald D. Barnes, John W. Sias, Erik M. Nystrom, Sanjay J. Patel, Nacho Navarro, Wen-mei W. Hwu Beating In-Order Stalls with "Flea-Flicker" Two-Pass Pipelining. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache-miss tolerance, prefetching, out-of-order execution, Runahead execution
9Huiyu Feng, Catherine Mavriplis, Rob Van der Feng, Rupak Biswas Parallel 3D Mortar Element Method for Adaptive Nonconforming Meshes. Search on Bibsonomy J. Sci. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mortar element method, nonconforming, adaptive, spectral element method
9Stavros Harizopoulos, Anastassia Ailamaki Improving instruction cache performance in OLTP. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Instruction cache, cache misses
9Mladen Berekovic, Tim Niggemeier A Scalable, Multi-thread, Multi-issue Array Processor Architecture for DSP Applications Based on Extended Tomasulo Scheme. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Evangelia Athanasaki, Nikos Anastopoulos, Kornilios Kourtis, Nectarios Koziris Exploring the Capacity of a Modern SMT Architecture to Deliver High Scientific Application Performance. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
9Jacob Engel, Joseph Meneskie, Taskin Koçak Performance analysis of network protocol offload in a simulation environment. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CPU utilization, gigabit NIC, offload engines, TCP, IP, UDP
9Masahiro Yasugi, Tasuku Hiraishi, Taiichi Yuasa Lightweight Lexical Closures for Legitimate Execution Stack Access. Search on Bibsonomy CC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1093 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license