The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RTL with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1960-1989 (15) 1990-1992 (21) 1993-1994 (18) 1995 (20) 1996 (26) 1997 (28) 1998 (38) 1999 (47) 2000 (63) 2001 (57) 2002 (61) 2003 (69) 2004 (93) 2005 (94) 2006 (108) 2007 (135) 2008 (99) 2009 (65) 2010 (30) 2011 (24) 2012 (36) 2013 (28) 2014 (30) 2015 (38) 2016 (29) 2017 (26) 2018 (34) 2019 (40) 2020 (40) 2021 (34) 2022 (38) 2023 (67) 2024 (14)
Publication types (Num. hits)
article(308) book(1) data(2) incollection(3) inproceedings(1246) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1091 occurrences of 565 keywords

Results
Found 1565 publication records. Showing 1565 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
15Bhanu Pratap Singh, Arunprasath Shankar, Francis G. Wolff, Christos A. Papachristou, Daniel J. Weyer, Steve Clay Cross-correlation of specification and RTL for soft IP analysis. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Athanasios Papadimitriou, David Hély, Vincent Beroulle, Paolo Maistri, Régis Leveugle A multiple fault injection methodology based on cone partitioning towards RTL modeling of laser attacks. Search on Bibsonomy DATE The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Daniel Lorenz 0002, Kim Grüttner, Wolfgang Nebel Data-and State-Dependent Power Characterisation and Simulation of Black-Box RTL IP Components at System Level. Search on Bibsonomy DSD The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Sven Alexander Horsinka, Rolf Meyer, Jan Wagner, Rainer Buchty, Mladen Berekovic On RTL to TLM Abstraction to Benefit Simulation Performance and Modeling Productivity in NoC Design Exploration. Search on Bibsonomy NoCArc@MICRO The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Liang Chen 0014, Mojtaba Ebrahimi, Mehdi Baradaran Tahoori Quantitative evaluation of register vulnerabilities in RTL control paths. Search on Bibsonomy ETS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Boonyarit Uengtrakul, Dahmmaet Bunnjaweht A cost efficient software defined radio receiver for demonstrating concepts in communication and signal processing using Python and RTL-SDR. Search on Bibsonomy DICTAP The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Chandan Kumar, Fadi Maamari, Kiran Vittal, Wilson Pradeep, Rajesh Tiwari, Srivaths Ravi 0001 Methodology for Early RTL Testability and Coverage Analysis and Its Application to Industrial Designs. Search on Bibsonomy ATS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Ghaith Bany Hamad, Otmane Aït Mohamed, Yvon Savaria Probabilistic model checking of single event transient propagation at RTL level. Search on Bibsonomy ICECS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Bastian Haetzer, Martin Radetzki A comparison of parallel systemc simulation approaches at RTL. Search on Bibsonomy FDL The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Jyu-Yuan Lai, Chih-Tsun Huang, Ting-Shuo Hsu, Jing-Jia Liou, Tung-Hua Yeh, Liang-Chia Cheng, Juin-Ming Lu Methodology of exploring ESL/RTL many-core platforms for developing embedded parallel applications. Search on Bibsonomy SoCC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Graziano Pravadelli, Francesco Stefanni, Tara Ghasempouri, Michele Lora, Giovanni Auditore, Mirella Negro Marcigaglia On the reuse of RTL assertions in SystemC TLM verification. Search on Bibsonomy LATW The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang A unified sequential equivalence checking approach to verify high-level functionality and protocol specification implementations in RTL designs. Search on Bibsonomy LATW The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Samaneh Ghandali, Bijan Alizadeh, Masahiro Fujita, Zainalabedin Navabi RTL datapath optimization using system-level transformations. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Zissis Poulos, Yu-Shen Yang, Andreas G. Veneris, Bao Le Simulation and satisfiability guided counter-example triage for RTL design debugging. Search on Bibsonomy ISQED The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Zissis Poulos, Andreas G. Veneris Clustering-based failure triage for RTL regression debugging. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Mehdi Dehbashi, Görschwin Fey Debug Automation for Synchronization Bugs at RTL. Search on Bibsonomy VLSID The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Pierre Vanhauwaert, Paolo Maistri, Régis Leveugle, Athanasios Papadimitriou, David Hély, Vincent Beroulle On error models for RTL security evaluations. Search on Bibsonomy DTIS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yakun Sophia Shao, Brandon Reagen, Gu-Yeon Wei, David M. Brooks Aladdin: A pre-RTL, power-performance accelerator simulator enabling large design space exploration of customized architectures. Search on Bibsonomy ISCA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Viraj Athavale, Sai Ma, Samuel Hertz, Shobha Vasudevan Code Coverage of Assertions Using RTL Source Code Analysis. Search on Bibsonomy DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Bijan Alizadeh, Payman Behnam Formal equivalence verification and debugging techniques with auto-correction mechanism for RTL designs. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Jayanand Asok Kumar, Shobha Vasudevan Formal Probabilistic Timing Verification in RTL. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15L. A. Zolotorevich Project verification and construction of superchip tests at the RTL level. Search on Bibsonomy Autom. Remote. Control. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Syed Saif Abrar, Maksim Jenihhin, Jaan Raik Extensible open-source framework for translating RTL VHDL IP cores to SystemC. Search on Bibsonomy DDECS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang Functional verification of complete sequential behaviors: A formal treatment of discrepancies between system-level and RTL descriptions. Search on Bibsonomy IDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Otacilio de Araujo Ramos Neto, Antonio Carlos Cavalcanti, Ruy Alberto Pisani Altafim Comparison between three RTL implementations of the multiplicative inverse calculation of galois field elements based on a standard cells library. Search on Bibsonomy ISVLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Michel A. Kinsy, Michael Pellauer, Srinivas Devadas Heracles: a tool for fast RTL-based design space exploration of multicore processors. Search on Bibsonomy FPGA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Diego Forrini, Franco Fummi, Matteo Laurenzi, Sara Vinco RTL IP abstraction into optimized embedded software. Search on Bibsonomy EWDTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Xinyu He, Shuangchen Li, Yongpan Liu, Xiaobo Sharon Hu, Huazhong Yang Utilizing voltage-frequency islands in C-to-RTL synthesis for streaming applications. Search on Bibsonomy DATE The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Wei Song 0002, Jim D. Garside Automatic Controller Detection for Large Scale RTL Designs. Search on Bibsonomy DSD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Khaled Salah 0001 An online RTL-level scan-chain-based methodology for accelerating IP emulation debugging at run-time. Search on Bibsonomy ICEAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Shuangchen Li, Yongpan Liu, Xiaobo Sharon Hu, Xinyu He, Yining Zhang, Pei Zhang, Huazhong Yang Optimal partition with block-level parallelization in C-to-RTL synthesis for streaming applications. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Bin Xue, Prosenjit Chatterjee, Sandeep K. Shukla Simplification of C-RTL equivalent checking for fused multiply add unit using intermediate models. Search on Bibsonomy ASP-DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Kelson Gent, Michael S. Hsiao Functional Test Generation at the RTL Using Swarm Intelligence and Bounded Model Checking. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Simen Gimle Hansen, Dirk Koch, Jim Tørresen Simulation framework for cycle-accurate RTL modeling of partial run-time reconfiguration in VHDL. Search on Bibsonomy ReCoSoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Neil Burgess, David Raymond Lutz Exhaustive testing of Fused Multiply-Add RTL. Search on Bibsonomy ACSSC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Lingkan Gong, Oliver Diessel, Johny Paul, Walter Stechele RTL Simulation of High Performance Dynamic Reconfiguration: A Video Processing Case Study. Search on Bibsonomy IPDPS Workshops The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Sara Vinco On the automatic generation of GPU-oriented software applications from RTL IPs. Search on Bibsonomy CODES+ISSS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Tobias Strauch Timing Driven C-Slow Retiming on RTL for MultiCores on FPGAs. Search on Bibsonomy PARCO The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Andrea Höller, Christopher Preschern, Christian Steger, Christian Kreiner, Armin Krieg, Holger Bock, Josef Haid Automatized high-level evaluation of security properties for RTL hardware designs. Search on Bibsonomy WESS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Adrian Evans, Dan Alexandrescu, Enrico Costenaro, Liang Chen Hierarchical RTL-based combinatorial SER estimation. Search on Bibsonomy IOLTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Carlos Ivan Castro Marquez, Marius Strum, Jiang Chau Wang Formal equivalence checking between high-level and RTL hardware designs. Search on Bibsonomy LATW The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Yi-Hong Lu, Chun-Hong Huang RTL/FPGA implementation of color correction for digital cameras. Search on Bibsonomy iCAST/UMEDIA The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Lingyi Liu, Shobha Vasudevan Scaling RTL property checking using feasible path analysisand decomposition. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15David W. Palmer, Parbati Kumar Manna An efficient algorithm for identifying security relevant logic and vulnerabilities in RTL designs. Search on Bibsonomy HOST The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Mingsong Chen, Prabhat Mishra 0001 Assertion-Based Functional Consistency Checking between TLM and RTL Models. Search on Bibsonomy VLSI Design The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Hung-Yi Liu, Franco Fummi, Luca P. Carloni A method to abstract RTL IP blocks into C++ code and enable high-level synthesis. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Lilia Zaourar, Yann Kieffer, Chouki Aktouf A Graph-Based Approach to Optimal Scan Chain Stitching Using RTL Design Descriptions. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ki-Hong Park, Won-Ki Ju, Yoon-Ho Kim Implementation of MAC-based RTL module for Inverse DCT in H.264/AVC. Search on Bibsonomy Multim. Tools Appl. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Yuya Miyaoka, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi RTL Design of High-Speed Sorted QR Decomposition for MIMO Decoder. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Valerio Guarnieri, Giuseppe Di Guglielmo, Nicola Bombieri, Graziano Pravadelli, Franco Fummi, Hanno Hantson, Jaan Raik, Maksim Jenihhin, Raimund Ubar On the Reuse of TLM Mutation Analysis at RTL. Search on Bibsonomy J. Electron. Test. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Mingsong Chen, Prabhat Mishra 0001, Dhrubajyoti Kalita Automatic RTL Test Generation from SystemC TLM Specifications. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Kai-Hui Chang, Chia-Wei Chang, Jie-Hong Roland Jiang, Chien-Nan Jimmy Liu Improving design verifiability by early RTL coverability analysis. Search on Bibsonomy MEMOCODE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Lukás Charvát, Ales Smrcka, Tomás Vojnar Automatic Formal Correspondence Checking of ISA and RTL Microprocessor Description. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Emad Samuel Malki Ebeid, Franco Fummi, Michele Lora On the Reuse of RTL IPs for SysML Model Generation. Search on Bibsonomy MTV The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Anton Tsepurov, Gunter Bartsch, Rainer Dorsch, Maksim Jenihhin, Jaan Raik, Valentin Tihhomirov A scalable model based RTL framework zamiaCAD for static analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Shilpa Pendyala, Srinivas Katkoori Interval arithmetic based input vector control for RTL subthreshold leakage minimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Gregory G. Faust, Runjie Zhang, Kevin Skadron, Mircea R. Stan, Brett H. Meyer ArchFP: Rapid prototyping of pre-RTL floorplans. Search on Bibsonomy VLSI-SoC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Proshanta Saha, Chuck Haymes, Ralph Bellofatto, Bernard Brezzo, Mohit Kapur, Sameh W. Asaad Efficient in-system RTL verification and debugging using FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nainala Vyagrheswarudu, Subrangshu Das, Abhishek Ranjan PowerAdviser: An RTL power platform for interactive sequential optimizations. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Kai-Hui Chang, Hong-Zu Chou, Igor L. Markov RTL analysis and modifications for improving at-speed test. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri FAST-GP: An RTL functional verification framework based on fault simulation on GP-GPUs. Search on Bibsonomy DATE The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Mahesh Prabhu, Jacob A. Abraham Functional test generation for hard to detect stuck-at faults using RTL model checking. Search on Bibsonomy ETS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Robert B. Reese, Scott C. Smith, Mitchell A. Thornton Uncle - An RTL Approach to Asynchronous Design. Search on Bibsonomy ASYNC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Bijan Alizadeh, Masahiro Fujita A functional test generation technique for RTL datapaths. Search on Bibsonomy HLDVT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Valerio Guarnieri, Andrea Acquaviva Energy aware TLM platform simulation via RTL abstraction. Search on Bibsonomy HLDVT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Gregor Nitsche, Georg Glaeser, Dirk Nuernbergk, Eckhard Hennig Hardware/Software Co-design of a Smart Sensor Interface Using a Fast but Accurate Close-to-RTL Instruction Set Interpreter. Search on Bibsonomy MBMV The full citation details ... 2012 DBLP  BibTeX  RDF
15Vahid Saljooghi, Alen Bardizbanyan, Magnus Själander, Per Larsson-Edefors Configurable RTL model for level-1 caches. Search on Bibsonomy NORCHIP The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Ghaith Tarawneh, Alex Yakovlev An RTL method for hiding clock domain crossing latency. Search on Bibsonomy ICECS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Daniel Lorenz 0002, Kim Grüttner, Nicola Bombieri, Valerio Guarnieri, Sara Bocchio From RTL IP to functional system-level models with extra-functional properties. Search on Bibsonomy CODES+ISSS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Steven J. E. Wilton, Bradley R. Quinton, Eddie Hung Rapid RTL-based signal ranking for FPGA prototyping. Search on Bibsonomy FPT The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Hu-Hsi Yeh, Cheng-Yin Wu, Chung-Yang (Ric) Huang QuteRTL: Towards an Open Source Framework for RTL Design Synthesis and Verification. Search on Bibsonomy TACAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jamil Mazzawi, Ziyad Hanna Formal Analysis of Security Data Paths in RTL Design. Search on Bibsonomy Haifa Verification Conference The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Andrea Acquaviva, Nicola Bombieri, Franco Fummi, Sara Vinco On the automatic synthesis of parallel SW from RTL models of hardware IPs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Sangchul Kim, Hyunjin Kim, Taeil Chung, Jin-Gyeong Kim Design of H.264 video encoder with C to RTL design tool. Search on Bibsonomy ISOCC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Min Li 0013, Kelson Gent, Michael S. Hsiao Design validation of RTL circuits using evolutionary swarm intelligence. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Somnath Banerjee 0003, Tushar Gupta Efficient Online RTL Debugging Methodology for Logic Emulation Systems. Search on Bibsonomy VLSI Design The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Joakim Urdahl, Dominik Stoffel, Markus Wedler, Wolfgang Kunz System verification of concurrent RTL modules by compositional path predicate abstraction. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Jayanand Asok Kumar, Kenneth M. Butler, Heesoo Kim, Shobha Vasudevan Early prediction of NBTI effects using RTL source code analysis. Search on Bibsonomy DAC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Cristiano Scavongelli, Marco Giammarini, Massimo Conti, Simone Orcioni Computational cost estimation of a RTL JPEG architecture with Powersim. Search on Bibsonomy WISES The full citation details ... 2012 DBLP  BibTeX  RDF
15Jayanand Asok Kumar Statistical guarantees of performance for RTL designs Search on Bibsonomy 2012   RDF
15Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan: A DFT Method for Functional Scan at RTL. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Benjamin Carrión Schäfer, Kazutoshi Wakabayashi Precision tunable RTL macro-modelling cycle-accurate power estimation. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Nicola Bombieri, Franco Fummi, Graziano Pravadelli Automatic Abstraction of RTL IPs into Equivalent TLM Descriptions. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Lirida A. B. Naviner, Jean-François Naviner, G. G. dos Santos Jr., Elaine Crespo Marques, Nilson M. Paiva Jr. FIFA: A fault-injection-fault-analysis-based tool for reliability assessment at RTL level. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Tatsuya Koyagi, Sohaib Majzoub, Masahiro Fukui, Resve A. Saleh RTL delay macro-modeling with Vt and Vdd variability. Search on Bibsonomy IDT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Lingyi Liu, Shobha Vasudevan Efficient validation input generation in RTL by hybridized source code analysis. Search on Bibsonomy DATE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Manish Baphna, Anchal Jain, Ashish Mathur A Method to Reuse RTL Verification Tests to Validate Cycle Accurate Model. Search on Bibsonomy ISED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Michal Rumplík, Josef Strnadel On RTL Testability and Gate-Level Stuck-At-Fault Coverage Correlation for Scan Circuits. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF automatic test pattern generation, scan-based test, high-level testing
15Nobuyuki Nishiguchi An RTL-to-GDS2 design methodology for advanced system LSI. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Andreas G. Veneris, Brian Keng, Sean Safarpour From RTL to silicon: The case for automated debug. Search on Bibsonomy ASP-DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Lilia Zaourar, Yann Kieffer, Chouki Aktouf An Innovative Methodology for Scan Chain Insertion and Analysis at RTL. Search on Bibsonomy Asian Test Symposium The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Leonard J. Mselle Using formal logic to define the grammar for memory transfer language (MTL) on the mould of register transfer language (RTL) and high level languages. Search on Bibsonomy PPIG The full citation details ... 2011 DBLP  BibTeX  RDF
15Paul Schumacher, Pradip Jha, Sudha Kuntur, Tim Burke, Alan Frost Fast RTL Power Estimation for FPGA Designs. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Jianchao Lu, Baris Taskin From RTL to GDSII: An ASIC design course development using Synopsys® University Program. Search on Bibsonomy MSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Mark C. Johnson Interactive application for learning RTL code structures. Search on Bibsonomy MSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hratch Mangassarian, Andreas G. Veneris, Duncan Exon Smith, Sean Safarpour Debugging with dominance: On-the-fly RTL debug solution implications. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hao Qian, Yangdong Deng Accelerating RTL simulation with GPUs. Search on Bibsonomy ICCAD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Lingkan Gong, Oliver Diessel ReSim: A reusable library for RTL simulation of dynamic partial reconfiguration. Search on Bibsonomy FPT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Uljana Reinsalu, Jaan Raik, Raimund Ubar, Peeter Ellervee Fast RTL Fault Simulation Using Decision Diagrams and Bitwise Set Operations. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1565 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license