The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase VLSI-SoC (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001 (39) 2002-2003 (101) 2004-2005 (22) 2006 (98) 2007 (81) 2008 (16) 2009-2010 (103) 2011 (94) 2012 (75) 2013 (96) 2014 (58) 2015 (77) 2016 (62) 2017 (61) 2018 (64) 2019 (82) 2020 (59) 2021 (59) 2022 (92) 2023 (52)
Publication types (Num. hits)
article(5) inproceedings(1350) proceedings(36)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8 occurrences of 7 keywords

Results
Found 1391 publication records. Showing 1391 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
32Robert Wittig, Mattis Hasler, Emil Matús, Gerhard P. Fettweis Probabilistic Models for Off-Line Arbiters in Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32F. L. Denis Nunes, Márcio Eduardo Kreutz Using SDN Strategies to Improve Resource Management On a NoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Kevin A. Cáceres Albinagorta, Calebe Conceição, Carlos Silva Cárdenas, Ricardo A. L. Reis Exploring area and total wirelength using a cell merging technique. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Soner Seçkiner, Longfei Wang, Selçuk Köse An NBTI-Aware Digital Low-Dropout Regulator with Adaptive Gain Scaling Control. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Chen-Ying Hsieh, Ardalan Amiri Sani, Nikil D. Dutt SURF: Self-aware Unified Runtime Framework for Parallel Programs on Heterogeneous Mobile Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Diego V. Cirilo do Nascimento, Kyriakos Georgiou, Kerstin I. Eder, Samuel Xavier de Souza Exploiting guard band limits for energy gains in MPSoCs. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Wellington Silva de Souza, Arman Iranfar, Anderson B. N. da Silva, Marina Zapater, Samuel Xavier de Souza, Katzalin Olcoz, David Atienza A QoS and Container-Based Approach for Energy Saving and Performance Profiling in Multi-Core Servers. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Arman Iranfar, Wellington Silva de Souza, Marina Zapater, Katzalin Olcoz, Samuel Xavier de Souza, David Atienza A Machine Learning-Based Framework for Throughput Estimation of Time-Varying Applications in Multi-Core Servers. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Aleksa Damljanovic, Giovanni Squillero, Cemil Cem Gürsoy, Maksim Jenihhin On NBTI-induced Aging Analysis in IEEE 1687 Reconfigurable Scan Networks. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Owais Talaat Waheed, Ibrahim Abe M. Elfadel Domain-Specific Architecture for IMU Array Data Fusion. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Calebe Micael de Oliveira Conceição, Ricardo Augusto da Luz Reis Netlist Optimization by Gate Merging. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Rafael B. Schvittz, Leomar Soares, Paulo Francisco Butzen Exploring Logic Gates Layout to Improve the Accuracy of Circuit Reliability Estimation. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Leonardo B. Moraes, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Augusto da Luz Reis Minimum Energy FinFET Schmitt Trigger Design Considering Process Variability. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Ganesh Gore, Patsy Cadareanu, Edouard Giacomin, Pierre-Emmanuel Gaillardon A Predictive Process Design Kit for Three-Independent-Gate Field-Effect Transistors. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Atishay, Ankit Gupta 0010, Rashmi Sonawat, Helik Kanti Thacker, Prasanth B SEARS: A Statistical Error and Redundancy Analysis Simulator. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Denis F. L. Nunes, Silvio Roberto Fernandes de Araujo, Márcio Eduardo Kreutz Optimizing an Architecture with Software Pipelining Strategies. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Solon Falas, Charalambos Konstantinou, Maria K. Michael A Hardware-based Framework for Secure Firmware Updates on Embedded Systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Vitor V. Bandeira, Felipe Rosa 0001, Ricardo Augusto da Luz Reis, Luciano Ost Non-intrusive Fault Injection Techniques for Efficient Soft Error Vulnerability Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Leonardo Heitich Brendler, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo A. L. Reis Impact of Process Variability and Single Event Transient on FinFET Technology. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Tara Ghasempouri, Jan Malburg, Alessandro Danese, Graziano Pravadelli, Görschwin Fey, Jaan Raik Engineering of an Effective Automatic Dynamic Assertion Mining Platform. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Sandro Matheus V. N. Marques, Thiarles S. Medeiros, Fábio Diniz Rossi, Marcelo Caggiani Luizelli, Alessandro Gonçalves Girardi, Antonio Carlos Schneider Beck, Arthur Francisco Lorenzon The Impact of Turbo Frequency on the Energy, Performance, and Aging of Parallel Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Demetrios A. M. Coutinho, Kyriakos Georgiou, Kerstin I. Eder, José L. Núñez-Yáñez, Samuel Xavier de Souza Performance and Energy Efficiency Trade-Offs in Single-ISA Heterogeneous Multi-Processing for Parallel Applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Alexis Rodrigo Iga Jadue, Sylvain Engels, Laurent Fesquet A Digital Event-Based Strategy for ASK demodulation. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Marco Rios, William Andrew Simon, Alexandre Levisse, Marina Zapater, David Atienza An Associativity-Agnostic in-Cache Computing Architecture Optimized for Multiplication. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Alberto Bosio, Wilson-Javier Pérez-Holguín, Ernesto Sánchez 0001 Exploiting Approximate Computing to Increase System Lifetime. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Keisuke Inoue An ILP-based Optimization Method for Radiation Hardened Register and ECC Mixed Architectures. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32João Vieira, Edouard Giacomin, Yasir Mahmood Qureshi, Marina Zapater, Xifan Tang, Shahar Kvatinsky, David Atienza, Pierre-Emmanuel Gaillardon A Product Engine for Energy-Efficient Execution of Binary Neural Networks Using Resistive Memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Bin Wu, Matthew R. Guthaus Bottom-Up Approach for High Speed SRAM Word-line Buffer Insertion Optimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Vitor V. Bandeira, Isadora Oliveira, Felipe da Rosa 0001, Ricardo A. L. Reis, Luciano Ost Soft Error Reliability Analysis of Autonomous Vehicles Software Stack. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Atif Yasin, Tiankai Su, Sébastien Pillement, Maciej J. Ciesielski Functional Verification of Hardware Dividers using Algebraic Model. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Yu-Cheng Chen, Vincent John Mooney, Santiago Grijalva A Survey of Attack Models for Cyber-Physical Security Assessment in Electricity Grid. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2018, Verona, Italy, October 8-10, 2018 Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  BibTeX  RDF
32Valentino Peluso, Andrea Calimera Energy-Driven Precision Scaling for Fixed-Point ConvNets. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Gionata Benelli, Gabriele Meoni, Luca Fanucci A low power keyword spotting algorithm for memory constrained embedded systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Evelina Forno, Andrea Acquaviva, Yuki Kobayashi, Enrico Macii, Gianvito Urgese A Parallel Hardware Architecture For Quantum Annealing Algorithm Acceleration. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Anna Bernasconi 0001, Antonio Boffa, Fabrizio Luccio, Linda Pagli Two Combinatorial Problems on the Layout of Switching Lattices. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Florenc Demrozi, Kevin Costa, Federico Tramarin, Graziano Pravadelli A graph-based approach for mobile localization exploiting real and virtual landmarks. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Edouard Giacomin, Pierre-Emmanuel Gaillardon Differential Power Analysis Mitigation Technique Using Three-Independent-Gate Field Effect Transistors. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Yakup Murat Key Architectural Optimizations for Hardware Efficient JPEG-LS Encoder. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Muhammad Awais 0009, Hassan Ghasemzadeh Mohammadi, Marco Platzner An MCTS-based Framework for Synthesis of Approximate Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Lorena Anghel, Denys Ly, Giorgio Di Natale, Benoît Miramond, Elena Ioana Vatajelu, Elisa Vianello Neuromorphic Computing - From Robust Hardware Architectures to Testing Strategies. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Mubashir Hussain, Hui Guo 0001 A Bandwidth-Aware Authentication Scheme for Packet-Integrity Attack Detection on Trojan Infected NoC. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Md. Adnan Zaman, Srinivas Katkoori Minimizing Performance and Energy Overheads Due to Fanout In Memristor based Logic Implementations. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Luiz Antonio de Oliveira Junior, Edna Barros An FPGA-based Hardware Accelerator for Scene Text Character Recognition. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Simi Zerine Sleeba, John Jose, Maurizio Palesi, Rekha K. James, Maniyelil Govindankutty Mini Traffic Aware Deflection Rerouting Mechanism for Mesh Network on Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Mahabub Hasan Mahalat, Nikhil Ugale, Rohit Shahare, Bibhash Sen Design of Latch based Configurable Ring Oscillator PUF Targeting Secure FPGA. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Juinn-Dar Huang, Chia-Hung Liu, Wei-Hao Yang Versatile Ring-Based Architecture and Synthesis Flow for General-Purpose Digital Microfluidic Biochips. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Achraf Lamlih, Philippe Freitas, Mohamed Moez Belhaj, Jérémie Salles, Vincent Kerzerho, Fabien Soulier, Serge Bernard, Tristan Rouyer, Sylvain Bonhommeau A Hybrid Bioimpedance Spectroscopy Architecture for a Wide Frequency Exploration of Tissue Electrical Properties. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Stefano Centomo, Marco Panato, Franco Fummi Cyber-Physical Systems Integration in a Production Line Simulator. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Ian O'Connor, Mayeul Cantan, Cédric Marchand 0002, Bertrand Vilquin, Stefan Slesazeck, Evelyn T. Breyer, Halid Mulaosmanovic, Thomas Mikolajick, Bastien Giraud, Jean-Philippe Noel, Adrian M. Ionescu, Igor Stolichnov Prospects for energy-efficient edge computing with integrated HfO2-based ferroelectric devices. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Naoki Ojima, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada A Synthesizable Digital Low-Dropout Regulator Based on Voltage-to-Time Conversion. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Shahzad Muzaffar, Ibrahim Abe M. Elfadel An Instruction Set Architecture for Low-power, Dynamic IoT Communication. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Utkarsh Gupta, Irina Ilioaea, Vikas Rao, Arpitha Srinath, Priyank Kalla, Florian Enescu On the Rectifiability of Arithmetic Circuits using Craig Interpolants in Finite Fields. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Erya Deng, Zhaohao Wang, Wang Kang 0001, Shaoqian Wei, Weisheng Zhao Multi-bit nonvolatile flip-flop based on NAND-like spin transfer torque MRAM. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Pasquale Davide Schiavone, Ernesto Sánchez 0001, Annachiara Ruospo, Francesco Minervini, Florian Zaruba, Germain Haugou, Luca Benini An Open-Source Verification Framework for Open-Source Cores: A RISC-V Case Study. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Francesco Barchi, Gianvito Urgese, Andrea Acquaviva, Enrico Macii Directed Graph Placement for SNN Simulation into a multi-core GALS Architecture. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Sophiane Senni, Frederic Ouattara, Jad Mohdad, Kaan Sevin, Guillaume Patrigeon, Pascal Benoit, Pascal Nouet, Lionel Torres, François Duhem, Gregory di Pendina, Guillaume Prenat From Spintronic Devices to Hybrid CMOS/Magnetic System On Chip. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Ming Ming Wong, Vikramkumar Pudi, Anupam Chattopadhyay Lightweight and High Performance SHA-256 using Architectural Folding and 4-2 Adder Compressor. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Julien Le Kernec, Francesco Fioranelli, Shufan Yang, Jordane Lorandel, Olivier Romain Radar for assisted living in the context of Internet of Things for Health and beyond. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Mahdi Tala, Davide Bertozzi Understanding the Design Space of Wavelength-Routed Optical NoC Topologies for Power-Performance Optimization. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Anna Bernasconi 0001, Valentina Ciriani, Luca Frontini Testability of Switching Lattices in the Stuck at Fault Model. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Luca Stornaiuolo, Marco Rabozzi, Donatella Sciuto, Marco D. Santambrogio, Giulio Stramondo, Catalin Bogdan Ciobanu, Ana Lucia Varbanescu HLS Support for Polymorphic Parallel Memories. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Swagata Mandal, Debjyoti Bhattacharjee, Yaswanth Tavva, Anupam Chattopadhyay ReRAM-based In-Memory Computation of Galois Field arithmetic. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Konstantin Braun, Tim Fritzmann, Georg Maringer, Thomas Schamberger, Johanna Sepúlveda Secure and Compact Full NTRU Hardware Implementation. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Rahul Shrestha, Ashutosh Sharma VLSI-Architecture of Radix-2/4/8 SISO Decoder for Turbo Decoding at Multiple Data-rates. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Stefano Aldegheri, Silvia Manzato, Nicola Bombieri Enhancing Performance of Computer Vision Applications on Low-Power Embedded Systems Through Heterogeneous Parallel Programming. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Serhiy Avramenko, Siavoosh Payandeh Azad, Behrad Niazmand, Massimo Violante, Jaan Raik, Maksim Jenihhin Upgrading QoSinNoC: Efficient Routing for Mixed-Criticality Applications and Power Analysis. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Leonardo Heitich Brendler, Alexandra L. Zimpeck, Cristina Meinhardt, Ricardo Reis 0001 Evaluating the Impact of Process Variability and Radiation Effects on Different Transistor Arrangements. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Keerthikumara Devarajegowda, Wolfgang Ecker Meta-model Based Automation of Properties for Pre-Silicon Verification. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Kaori Matsumoto, Tetsuya Hirose, Hiroki Asano, Yuto Tsuji, Yuichiro Nakazawa, Nobutaka Kuroki, Masahiro Numa An ultra-low power active diode using a hysteresis common gate comparator for low-voltage and low-power energy harvesting systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Marc Souchaud, Pierre Jacob, Camille Simon Chane, Aymeric Histace, Olivier Romain, Maurice Tchuenté, Denis Sereno Mobile Phones Hematophagous Diptera Surveillance in the field using Deep Learning and Wing Interference Patterns. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Steve Bigalke, Jens Lienig FLUTE-EM: Electromigration-Optimized Net Considering Topology Currents and Mechanical Stress. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Görschwin Fey, Tara Ghasempouri, Swen Jacobs, Gianluca Martino, Jaan Raik, Heinz Riener Design Understanding: From Logic to Specification*. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Valerio Tenace, Andrea Calimera Inferential Logic: a Machine Learning Inspired Paradigm for Combinational Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Anastasis Keliris, Charalambos Konstantinou, Marios Sazos, Michail Maniatakos Low-budget Energy Sector Cyberattacks via Open Source Exploitation. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Zahira Perez, Hector Villacorta, Víctor H. Champac An accurate novel gate-sizing metric to optimize circuit performance under local intra-die process variations. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Riccardo Cantoro, Sara Carbonara, Andrea Floridia, Ernesto Sánchez 0001, Matteo Sonza Reorda, Jan-Gerd Mess An analysis of test solutions for COTS-based systems in space applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Mathieu Moreau, Eloi Muhr, Marc Bocquet, Hassen Aziza, Jean-Michel Portal, Bastien Giraud, Jean-Philippe Noel Reliable ReRAM-based Logic Operations for Computing in Memory. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Suyuan Chen, Ranga Vemuri On the Effectiveness of the Satisfiability Attack on Split Manufactured Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Andres F. Gomez, Freddy Forero, Kaushik Roy 0001, Víctor H. Champac Robust Detection of Bridge Defects in STT-MRAM Cells Under Process Variations. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32Reza Ranjandish, Alexandre Schmid Implantable IoT System for Closed-Loop Epilepsy Control based on Electrical Neuromodulation. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
32 2017 IFIP/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2017, Abu Dhabi, United Arab Emirates, October 23-25, 2017 Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  BibTeX  RDF
32Daniele Cesarini, Andrea Bartolini, Luca Benini Prediction horizon vs. efficiency of optimal dynamic thermal control policies in HPC nodes. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Thiago Santos Copetti, Tiago R. Balen, Guilherme Cardoso Medeiros, Letícia Maria Bolzani Poehls Analyzing the behavior of FinFET SRAMs with resistive defects. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Kimiyoshi Usami, Shunsuke Kogure, Yusuke Yoshida, Ryo Magasaki, Hideharu Amano Level-shifter-less approach for multi-VDD design to use body bias control in FD-SOI. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Shuangxing Zhao, Chenchang Zhan, Guigang Cai A 2×VDD-enabled fully-integrated low-dropout regulator with fast transient response. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Mahesh Kumar Adimulam, Krishna Kumar Movva, Amit Kapoor, M. B. Srinivas A low power, programmable bias inverter quantizer (BIQ) flash ADC. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Juinn-Dar Huang, Yi-Hang Chen, Jia-Shin Lu Defect-aware synthesis for reconfigurable single-electron transistor arrays. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Matthias Thiele, Steve Bigalke, Jens Lienig Exploring the use of the finite element method for electromigration analysis in future physical design. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Kyounghoon Kim, Kiyoung Choi Synthesis of multi-variate stochastic computing circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Stelvio Cimato, Valentina Ciriani, Ernesto Damiani, Maryam Ehsanpour A multiple valued logic approach for the synthesis of garbled circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Hans G. Kerkhoff, Ghazanfar Ali, Jinbo Wan, Ahmed Ibrahim 0001, Jerrin Pathrose Applying IJTAG-compatible embedded instruments for lifetime enhancement of analog front-ends of cyber-physical systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Mohammed Ismail 0001 A self-powered IoT SoC platform for wearable health care. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Binod Kumar 0001, Kanad Basu, Ankit Jindal, Masahiro Fujita, Virendra Singh Improving post-silicon error detection with topological selection of trace signals. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Shahzad Muzaffar, Ibrahim M. Elfadel A pulsed decimal technique for single-channel, dynamic signaling for IoT applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Roberto Giorgio Rizzo, Valentino Peluso, Andrea Calimera, Jun Zhou 0017, Xin Liu 0015 Early bird sampling: A short-paths free error detection-correction strategy for data-driven VOS. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Muhammad Yasin, Ozgur Sinanoglu Evolution of logic locking. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32George Michael, Nectarios Efstathiou, Kyriacos Mantis, Theocharis Theocharides, Danilo Pau Intelligent embedded and real-time ANN-based motor control for multi-rotor unmanned aircraft systems. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Stefano Aldegheri, Nicola Bombieri Extending OpenVX for model-based design of embedded vision applications. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Murugappan Alagappan, Jeyavijayan Rajendran, Milos Doroslovacki, Guru Venkataramani DFS covert channels on multi-core platforms. Search on Bibsonomy VLSI-SoC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1391 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license