The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for analog with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1954 (19) 1955-1956 (22) 1957 (21) 1958-1959 (24) 1960 (15) 1961 (17) 1962 (25) 1963 (18) 1964 (19) 1965 (21) 1966-1967 (34) 1968 (25) 1969-1970 (21) 1971-1972 (16) 1973-1974 (15) 1975-1976 (22) 1977-1978 (22) 1979 (18) 1980-1981 (28) 1982 (18) 1983 (17) 1984 (21) 1985 (17) 1986 (20) 1987 (16) 1988 (59) 1989 (59) 1990 (76) 1991 (77) 1992 (83) 1993 (127) 1994 (173) 1995 (177) 1996 (188) 1997 (171) 1998 (234) 1999 (337) 2000 (261) 2001 (304) 2002 (364) 2003 (453) 2004 (470) 2005 (587) 2006 (656) 2007 (627) 2008 (565) 2009 (400) 2010 (381) 2011 (400) 2012 (457) 2013 (438) 2014 (431) 2015 (494) 2016 (488) 2017 (512) 2018 (543) 2019 (551) 2020 (512) 2021 (553) 2022 (580) 2023 (634) 2024 (147)
Publication types (Num. hits)
article(5354) book(29) data(13) incollection(38) inproceedings(8474) phdthesis(171) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2846 occurrences of 1432 keywords

Results
Found 14080 publication records. Showing 14080 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Göran Jerke, Jens Lienig Hierarchical current-density verification in arbitrarily shaped metallization patterns of analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Sheldon X.-D. Tan, C.-J. Richard Shi Efficient approximation of symbolic expressions for analog behavioral modeling and analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy On the exploration of the solution space in analog placement with symmetry constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Liuqing Yang 0001, Georgios B. Giannakis Analog space-time coding for multiantenna ultra-wideband transmissions. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Gabriella Trucco, Giorgio Boselli, Valentino Liberali A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Marcelo Negreiros, Luigi Carro, Altamiro Amadeu Susin Low Cost Analog Testing of RF Signal Paths. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Hsin-Wen Ting, Bin-Da Liu, Soon-Jyh Chang A Time Domain Built-In Self-Test Methodology for SNDR and ENOB Tests of Analog-to-Digital Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jochen Rivoir Low-Cost Analog Signal Generation Using a Pulse-Density Modulated Digital ATE Channel. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF low-cost ATE, multi-site test, mixed-signal test, concurrent test, low-cost test, test resource partitioning
17Chin-Long Wey, Meng-Yao Liu Burn-In Stress Test of Analog CMOS ICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Daniel Matolin, Jörg Schreiter, Stefan Getzlaff, René Schüffny An Analog VLSI Pulsed Neural Network Implementation for Image Segmentation. Search on Bibsonomy PARELEC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Smriti Gupta, Bruce H. Krogh, Rob A. Rutenbar Towards formal verification of analog designs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Ranga Vemuri, Glenn Wolfe Adaptive sampling and modeling of analog circuit performance parameters with pseudo-cubic splines. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Jörg Langeheine, Martin Trefzer, Daniel Brüderle, Karlheinz Meier, Johannes Schemmel On the Evolution of Analog Electronic Circuits Using Building Blocks on a CMOS FPTA. Search on Bibsonomy GECCO (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kalle Ruttik BER for CMOS Analog Decoder with Different Working Points. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Silviu Ionita, Emil Sofron Field-Programmable Analog Filters Array with Applications for Fuzzy Inference Systems. Search on Bibsonomy HIS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Joachim Becker, Yiannos Manoli A continuous-time field programmable analog array (FPAA) consisting of digitally reconfigurable GM-cells. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Gladys Omayra Ducoudray, Jaime Ramírez-Angulo, Antonio J. López-Martín, Ramón González Carvajal High-speed high-precision analog rank order filter in CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Zeljko Ignjatovic, Mark F. Bocko Sigma-delta analog to digital converter architecture based upon a modulator design employing a mirrored integrator. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Roxana Saint-Nom, Daniel Jacoby Switched capacitors: a bridge between analog and digital SP. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Nhan Nguyen, Chris Winstead, Vincent C. Gaudet, Christian Schlegel A 0.8V CMOS analog decoder for an (8, 4, 4) extended Hamming code. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shantanu Chakrabartty, Gert Cauwenberghs Margin normalization and propagation in analog VLSI. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Chun-Cheng Huang, Jieh-Tsorng Wu A statistical background calibration technique for flash analog-to-digital converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Stephen P. Carullo, Michael Olaleye, Chika Nwankpa VSLI Based Analog Power System Emulator for Fast Contingency Analysis. Search on Bibsonomy HICSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Yukiya Miura Fault Diagnosis of Analog Circuits by Operation-Region Model and X-Y Zoning Method. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Marcelo Lubaszewski, Michel Renovell An Approach to the Built-In Self-Test of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Claudio Mattiussi, Dario Floreano Evolution of Analog Networks using Local String Alignment on Highly Reorganizable Genomes. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Rob A. Rutenbar, Anthony R. Bonaccio, Teresa H. Meng, Ernesto Perea, Robert Pitts, Charles G. Sodini, Jim Wieser Will Moore's Law rule in the land of analog? Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Mandeep Singh, Israel Koren Fault-sensitivity analysis and reliability enhancement of analog-to-digital converters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Walter Daems, Georges G. E. Gielen, Willy M. C. Sansen Simulation-based generation of posynomial performance models for the sizing of analog integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Alex Doboli, Ranga Vemuri Exploration-based high-level synthesis of linear analog systems operating at low/medium frequencies. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Carles Pous, Joan Colomer, Joaquím Meléndez, Josep Lluís de la Rosa Case Base Management for Analog Circuits Diagnosis Improvement. Search on Bibsonomy ICCBR The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Wolfgang Eberle, Gerd Vandersteen, Piet Wambacq, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man Behavioral Modeling and Simulation of a Mixed Analog/Digital Automatic Gain Control Loop in a 5 GHz WLAN Receiver. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Peng Li 0001, Xin Li 0001, Yang Xu 0017, Lawrence T. Pileggi A Hybrid Approach to Nonlinear Macromodel Generation for Time-Varying Analog Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Cesare Alippi, Marco Stellini High level accuracy loss estimates for a class of analog/digital systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sandro A. P. Haddad, Richard Houben, Wouter A. Serdijn Analog wavelet transform employing dynamic translinear circuits for cardiac signal characterization. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sarat C. Maruvada, Karthik Krishnamoorthy, Subodh Annojvala, Florin Balasa Placement with symmetry constraints for analog layout using red-black trees. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Gustavo Liñán Cembrano, Ángel Rodríguez-Vázquez, Ricardo Carmona-Galán, Servando Espejo-Meana, Rafael Domínguez-Castro Analog weight buffering strategy for CNN chips. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Timothy Kuan-Ta Lu, Michael W. Baker, Christopher D. Salthouse, Ji-Jon Sit, Serhii M. Zhak, Rahul Sarpeshkar A micropower analog VLSI processing channel for bionic ears and speech-recognition front ends. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Rui Liu, Sheqin Dong, Xianlong Hong, Di Long, Jun Gu Algorithms for analog VLSI 2D stack generation and block merging. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Matteo Perenzoni, Andrea Gerosa, Andrea Neviani Analog CMOS implementation of Gallager's iterative decoding algorithm applied to a block turbo code. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Christian Falconi, Arnaldo D'Amico, Marco Faccio Design of accurate analog circuits for low voltage low power CMOS systems. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Esteban Tlelo-Cuautle, Alejandro Díaz-Sánchez An heuristic circuit-generation technique for the design-automation of analog circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17James M. Masciotti, Lessing Luu, Dariusz Czarkowski CMOS current-mode analog circuit building blocks for rf DC-DC converter controllers. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17H. S. Ng, Sui-Tung Mak, Kai-Pui Lam Field programmable gate arrays and analog implementation of BRIN for optimization problems. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17John Marty Emmert, Jason A. Cheatham, Badhri Jagannathan, Sandeep Umarani A Monolithic Spectral BIST Technique for Control or Test of Analog or Mixed-Signal Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Yukiya Miura, Daisuke Kato Analysis and Testing of Analog and Mixed-Signal Circuits by an Operation-Region Model: A Case Study of Application and Implementation. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Haralampos-G. D. Stratigopoulos, Yiorgos Makris An Analog Checker with Dynamically Adjustable Error Threshold for Fully Differential Circuits. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Haralampos-G. D. Stratigopoulos, Yiorgos Makris Concurrent Error Detection in Linear Analog Circuits Using State Estimation. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Jeannette Plante, Harry C. Shaw, Lisa P. Mickens, Charles T. Johnson-Bey Overview of Field Programmable Analog Arrays as Enabling Technology for Evolvable Hardware for High Reliability Systems. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Fernando De Bernardinis, Michael I. Jordan, Alberto L. Sangiovanni-Vincentelli Support vector machines for analog circuit performance representation. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Razvan Ionita, Andrei Vladimirescu, Paul G. A. Jespers Automated Design Methodology for CMOS Analog Circuit Blocks in Complex Systems. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ricardo Carmona-Galán, Francisco Jiménez-Garrido, Rafael Domínguez-Castro, Servando Espejo-Meana, Ángel Rodríguez-Vázquez Bio-Inspired Analog VLSI Design Realizes Programmable Complex Spatio-Temporal Dynamics on a Single Chip. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Alex Doboli, Ranga Vemuri A Functional Specification Notation for Co-Design of Mixed Analog-Digital Systems. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jacob Savir, Zhen Guo Test Limitations of Parametric Faults in Analog Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Florin Balasa, Sarat C. Maruvada, Karthik Krishnamoorthy Efficient solution space exploration based on segment trees in analog placement with symmetry constraints. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Sule Ozev, Alex Orailoglu Cost-Effective Concurrent Test Hardware Design for Linear Analog Circuits. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jacob Savir, Zhen Guo On the Detectability of Parametric Faults in Analog Circuits. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Janusz A. Starzyk, Dong Liu A decomposition method for analog fault location. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Lihong Zhang, Ulrich Kleine A genetic approach to analog module placement with simulated annealing. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ricardo Carmona, Francisco Jiménez-Garrido, Rafael Domínguez-Castro, Servando Espejo-Meana, Ángel Rodríguez-Vázquez Bio-inspired analog parallel array processor chip with programmable spatio-temporal dynamics. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Eric J. McDonald, Bradley A. Minch Synthesis of a translinear analog adaptive filter. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Jie Dai, Chris Winstead, Chris J. Myers, Reid R. Harrison, Christian Schlegel Cell library for automatic synthesis of analog error control decoders. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Mostafa A. R. Eltokhy, Boon-Keat Tan, Toshimasa Matsuoka, Kenji Taniguchi 0001 A 3.4-mW 128-MHz analog correlator for DS-CDMA wireless applications. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Toshihiko Yamasaki, Teruyasu Taguchi, Tadashi Shibata Low-power CDMA analog matched filters based on floating-gate technology. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Viera Stopjaková, Daniel Micusík, Lubica Benusková, Martin Margala Neural Networks-Based Parametric Testing of Analog IC. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Lyudmila Zinchenko, Heinz Mühlenbein, Victor Kureichik, Thilo Mahnig Application of the Univariate Marginal Distribution Algorithm to Analog Circuit Design. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Arne Heittmann, Ulrich Ramacher, Daniel Matolin, Jörg Schreiter, René Schüffny An Analog VLSI Pulsed Neural Network for Image Segmentation Using Adaptive Connection Weights. Search on Bibsonomy ICANN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Debapriya Sahu A Completely Integrated Low Jitter CMOS PLL for Analog Front Ends in Systems on Chip Environment. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Ion E. Opris, Seiichiro Watanabe A Fast Analog Front-End Processor for Digital Imaging Systems. Search on Bibsonomy IEEE Micro The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Chang-Ki Kwon, Kwyro Lee Highly parallel and energy-efficient exhaustive minimum distance search engine using hybrid digital/analog circuit techniques. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Jun-Weir Lin, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Fault Diagnosis for Linear Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF diagnosing evaluators, un-powered network, fault diagnosis, signal flow graph
17Biranchinath Sahu, Abhijit Chatterjee Automatic Test Generation for Analog Circuits Using Compact Test Transfer Function Models. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test transfer function model, AC testing, optimization, fault simulation
17Achintya Halder, Abhijit Chatterjee Specification Based Digital Compatible Built-in Test of Embedded Analog Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Johannes Schemmel, Karlheinz Meier, Felix Schürmann A VLSI Implementation of an Analog Neural Network Suited for Genetic Algorithms. Search on Bibsonomy ICES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Karen Nazaryan Quasi Analog Formal Neuron and Its Learning Algorithm Hardware. Search on Bibsonomy International Conference on Computational Science (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Nuno F. Paulino, João Goes, Adolfo Steiger-Garção Design methodology for optimization of analog building blocks using genetic algorithms. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Costantino Pala, Lars Thylén, Mehrun Mokhtari, Urban Westergren A high-speed electro-optical analog-to-digital converter principle. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Eduard Alarcón, Alfonso Romero 0001, Alberto Poveda, Sonia Porta, Luis Martínez-Salamero Sliding-mode control analog integrated circuit for switching DC-DC power converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17David H. Goldberg, Gert Cauwenberghs, Andreas G. Andreou Analog VLSI spiking neural network with address domain probabilistic synapses. Search on Bibsonomy ISCAS (3) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Alex Doboli, Ranga Vemuri Hierarchical performance optimization for synthesis of linear analog systems. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Shashidhar Tantry, Teru Yoneyama, Hideki Asai Two floating resistor circuits and their applications to synaptic weights in analog neural networks. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Samgsuk Kim, Minkyu Song An 8-bit 200 MSPS CMOS A/D converter for analog interface module of TFT-LCD driver. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Toshihiko Yamasaki, Atsushi Suzuki, Daisuke Kobayashi, Tadashi Shibata A fast self-convergent flash-memory programming scheme for MV and analog data storage. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Bogdan Pankiewicz, Marek Wójcikowski, Stanislaw Szczepanski, Yichuang Sun A CMOS field programmable analog array and its application in continuous-time OTA-C filter design. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Christian Jesús B. Fayomi, Gordon W. Roberts, Mohamad Sawan A 1-V, 10-bit rail-to-rail successive approximation analog-to-digital converter in standard 0.18 um CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Neeraj Nayak, Baiying Yu, William C. Black Jr. A comparison of transient digitization methods for high speed analog signals. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Pierluigi Daglio, M. Araldi, Michele Morbarigazzi, Carlo Roma A Fully Qualified Analog Design Flow for Non Volatile Memories Technologies. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Mohammad Athar Khalil, Chin-Long Wey High-Voltage Stress Test Paradigms of Analog CMOS ICs for Gate-Oxide Reliability Enhancement. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Sheldon X.-D. Tan, C.-J. Richard Shi Hierarchical symbolic analysis of analog integrated circuits viadeterminant decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Bapiraju Vinnakota, Ramesh Harjani DFT for digital detection of analog parametric faults in SC filters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17C.-J. Richard Shi, Sheldon X.-D. Tan Canonical symbolic analysis of large analog circuits withdeterminant decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Sydney Reader, Won Namgoong, Teresa H. Meng Partitioning Analog and Digital Processing in Mixed-Signal Systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Christian Paulus, Ulrich Kleine, Roland Thewes Area Optimization of Analog Circuits Considering Matching Constraints. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Érika F. Cota, Michel Renovell, Florence Azaïs, Yves Bertrand, Luigi Carro, Marcelo Lubaszewski Reuse of Existing Resources for Analog BIST of a Switch Capacitor Filte. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Mohamed Dessouky, Marie-Minerve Louërat, Jacky Porte Layout-Oriented Synthesis of High Performance Analog Circuits. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Martin Margala, Srdjan Dragic, Ahmed El-Abasiry, Samuel Ekpe, Viera Stopjaková I-V Fast IDDQ Current Sensor for On-Line Mixed-Signal/Analog Test. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Testing, Sensors, Iddq, Ultra-Low-Voltage, Current
17Tetsuya Asai, Masato Koutani, Yoshihito Amemiya An Analog-Digital Hybrid CMOS Circuit for Two-Dimensional Motion Detection with Correlation Neural Networks. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Ramakrishna Voorakaranam, Abhijit Chatterjee Test Generation for Accurate Prediction of Analog Specifications. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tao Pi, C.-J. Richard Shi Multi-terminal determinant decision diagrams: a new approach to semi-symbolic analysis of analog integrated circuits. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Giri Devarayanadurg, Mani Soma, Prashant Goteti, Sam D. Huynh Test set selection for structural faults in analog IC's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 14080 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license