The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delays with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1954-1969 (17) 1970-1973 (16) 1974-1976 (21) 1977-1981 (17) 1982-1983 (17) 1984 (15) 1985 (18) 1986 (15) 1987 (21) 1988 (34) 1989 (37) 1990 (56) 1991 (38) 1992 (48) 1993 (66) 1994 (77) 1995 (150) 1996 (100) 1997 (149) 1998 (138) 1999 (180) 2000 (218) 2001 (226) 2002 (282) 2003 (335) 2004 (559) 2005 (612) 2006 (695) 2007 (718) 2008 (814) 2009 (665) 2010 (417) 2011 (389) 2012 (461) 2013 (504) 2014 (496) 2015 (517) 2016 (522) 2017 (531) 2018 (618) 2019 (609) 2020 (646) 2021 (605) 2022 (600) 2023 (588) 2024 (165)
Publication types (Num. hits)
article(8212) book(2) data(5) incollection(20) inproceedings(5756) phdthesis(27)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5912 occurrences of 2755 keywords

Results
Found 14022 publication records. Showing 14022 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Zhigang Zeng, Boshan Chen, Zengfu Wang Globally Attractive Periodic State of Discrete-Time Cellular Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Deyin Wu, Qingyu Xiong, Chuandong Li 0001, Zhong Zhang, Haoyang Tang Improved Results for Exponential Stability of Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Qiang Zhang 0008, Wenbing Liu, Xiaopeng Wei Global Exponential Stability of Discrete Time Hopfield Neural Networks with Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Zhihong Tang, Yiping Luo 0001, Feiqi Deng Global Exponential Stability of Reaction-Diffusion Hopfield Neural Networks with Distributed Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Xiaolin Li 0004, Jinde Cao Exponential Stability of Stochastic Cohen-Grossberg Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Wei Zhang, Jianqiao Yu Exponential Stability of Cohen-Grossberg Neural Networks with Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jinan Pei, Daoyi Xu, Zhichun Yang, Wei Zhu 0004 Stability Analysis of Second Order Hopfield Neural Networks with Time Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jun Zhang, Xiaofeng Liao 0001, Chuandong Li 0001, Anwen Lu A Further Result for Exponential Stability of Neural Networks with Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Huaguang Zhang, Gang Wang Global Exponential Stability of a Class of Generalized Neural Networks with Variable Coefficients and Distributed Delays. Search on Bibsonomy ICIC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Huaguang Zhang, Zhanshan Wang Globally Exponential Stability Analysis and Estimation of the Exponential Convergence Rate for Neural Networks with Multiple Time Varying Delays. Search on Bibsonomy ICNC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Anupam Gupta 0001, Bruce M. Maggs, Florian Oprea, Michael K. Reiter Quorum placement in networks to minimize access delays. Search on Bibsonomy PODC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF approximation algorithms, location, quorum systems, problems, LP rounding
12Joono Cheong, Silviu-Iulian Niculescu, Anuradha M. Annaswamy, Mandayam A. Srinivasan Motion Synchronization in Virtual Environments with Shared Haptics and Large Time Delays. Search on Bibsonomy WHC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yaya Wei, Fengyuan Ren, Chuang Lin 0002, Thiemo Voigt Fuzzy Control for Guaranteeing Absolute Delays in Web Servers. Search on Bibsonomy QSHINE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF QoS, Fuzzy control, Resource Scheduling
12Zhihong Huo, Huajing Fang Robust H-infinity Filter Design for Networked Control System with Random Time Delays. Search on Bibsonomy ICECCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Patricia Balbastre, Ismael Ripoll, Josep Vidal Canet, Alfons Crespo A Task Model to Reduce Control Delays. Search on Bibsonomy Real Time Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing jitter, multi-rate controllers, schedulability analysis, control design
12Xiaofeng Liao 0001, Kwok-Wo Wong Robust stability of interval bidirectional associative memory neural network with time delays. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part B The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Zidong Wang, Daniel W. C. Ho, Xiaohui Liu 0001 A note on the robust stability of uncertain stochastic fuzzy systems with time-delays. Search on Bibsonomy IEEE Trans. Syst. Man Cybern. Part A The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xiefu Jiang, Wenli Xu Correction to "Comments on 'Stability of fuzzy control systems with bounded uncertain delays'". Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Da-Zhou Peng, Bugong Xu, Xin-Jun Ma Regional stability for continuous large-scale interconnected linear system with delays. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yufeng Wang, Changhong Wang, Huang Xu Guaranteed cost control with random communication delays via jump linear system approach. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Xin-Jun Ma, Bugong Xu, Qingyang Wang, Da-Zhou Peng A stability criterion for linear uncertain systems with multiple delays. Search on Bibsonomy ICARCV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Chuandong Li 0001, Xiaofeng Liao 0001, Yong Chen On Robust Stability of BAM Neural Networks with Constant Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Kun Yuan, Jinde Cao Global Exponential Stability of Cohen-Grossberg Neural Networks with Multiple Time-Varying Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ce Ji, Huaguang Zhang, Huanxin Guan Analysis for Global Robust Stability of Cohen-Grossberg Neural Networks with Multiple Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Wenjun Xiong, Qiuhao Jiang Absolutely Exponential Stability of BAM Neural Networks with Distributed Delays. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Rajeev Balasubramonian Cluster prefetch: tolerating on-chip wire delays in clustered microarchitectures. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF communication-bound processors, effective address and memory dependence prediction, processor, data prefetch, distributed caches, clustered microarchitectures
12Fevzullah Temurtas, Cihat Tasaltin, Hasan Temurtas, Nejat Yumusak, Zafer Ziya Öztürk A Study on Neural Networks with Tapped Time Delays: Gas Concentration Estimation. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alessandro Bastari, Stefano Squartini, Francesco Piazza Underdetermined Blind Separation of Speech Signals with Delays in Different Time-Frequency Domains. Search on Bibsonomy Summer School on Neural Networks The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yuedong Xu, Liang Wang 0005, Zhi-Hong Guan, Hua O. Wang Global Stability of Optimization Based Flow Control with Time-Varying Delays. Search on Bibsonomy PDCAT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ashok K. Murugavel, N. Ranganathan Petri net modeling of gate and interconnect delays for power estimation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Aneesh Aggarwal, Manoj Franklin Instruction Replication: Reducing Delays Due to Inter-PE Communication Latency. Search on Bibsonomy IEEE PACT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Instruction Replication, Inter-PE communication, Instruction Distribution, Instructions per Cycle, Load Imbalance, Clustered processors
12Karthikeyan Bhasyam, Kia Bazargan HW/SW Codesign Incorporating Edge Delays Using Dynamic Programming. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Yuanshi Wang, Jiming Liu 0001, Xiaolong Jin Modeling Agent-Based Load Balancing with Time Delays. Search on Bibsonomy IAT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Rui Ma, Jacek Ilow Reliable Multipath Routing with Fixed Delays in MANET Using Regenerating Nodes. Search on Bibsonomy LCN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Junho Shim, Taehee Lee 0001, Sang-goo Lee A Server Placement Algorithm Conscious of Communication Delays and Relocation Costs. Search on Bibsonomy NETWORKING Workshops The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Renaud Lepère, Denis Trystram A New Clustering Algorithm for Large Communication Delays. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12M. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF instruction queue clock rate, Pipelining
12Isabel Demongodin Generalised Batches Petri Net: Hybrid Model For High Speed Systems With Variable Delays. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF hybrid Petri nets, hybrid speed systems, modelling, performance analysis, supervisory control
12Anton Riabov, Jay Sethuraman Scheduling periodic task graphs with communication delays. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Mazen Zari, Hossein Saiedian, Muhammad Naeem Understanding and Reducing Web Delays. Search on Bibsonomy Computer The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Gustavo A. Casañ, Maria Asunción Castaño Inference of Stochastic Regular Languages through Simple Recurrent Networks with Time Delays. Search on Bibsonomy IWANN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Shuji Tsukiyama, Masakazu Tanaka, Masahiro Fukui A statistical static timing analysis considering correlations between delays. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Chenyang Lu 0001, Tarek F. Abdelzaher, John A. Stankovic, Sang Hyuk Son A Feedback Control Approach for Guaranteeing Relative Delays in Web Servers. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Juan Zamorano, José F. Ruiz, Juan Antonio de la Puente Implementing Ada.Real_Time.Clock and Absolute Delays in Real-Time Kernels. Search on Bibsonomy Ada-Europe The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Emiko Ishiwata On the Attainable Order of Collocation Methods for the Neutral Functional-Differential Equations with Proportional Delays. Search on Bibsonomy Computing The full citation details ... 2000 DBLP  DOI  BibTeX  RDF AMS Subject Classifications: 65R20, 34K99, 45L10
12Christel Baier, Mariëlle Stoelinga Norm Functions for Probabilistic Bisimulations with Delays. Search on Bibsonomy FoSSaCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Foto N. Afrati, Evripidis Bampis, Lucian Finta, Ioannis Milis Scheduling Trees with Large Communication Delays on Two Identical Processors. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Slavko Maric, Zoran Jovanovic Dynamic Task Scheduling with Precedence Constraints and Communication Delays. Search on Bibsonomy PaCT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Peter H. Bauer, Mihail L. Sichitiu, Kamal Premaratne Controlling an integrator through data networks: stability in the presence of unknown time-variant delays. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Jatindra Kumar Deka, Pallab Dasgupta, P. P. Chakrabarti 0001 An Efficiently Checkable Subset of TCTL for Formal Verification of Transition Systems with Delays. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Khashayar Pakdaman, C. P. Malta A note on convergence under dynamical thresholds with delays. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Prathima Agrawal, Jyh-Cheng Chen, Cormac J. Sreenan Use of Statistical Methods to Reduce Delays for Media Playback Buffering. Search on Bibsonomy ICMCS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12Eugene Asarin, Oded Maler, Amir Pnueli On Discretization of Delays in Timed Automata and Digital Circuits. Search on Bibsonomy CONCUR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
12M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Yuh-Sheng Lee, Allen C.-H. Wu A performance and routability-driven router for FPGAs considering path delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Toshiyuki Matsunaga A Method of Generating Tests for Marginal Delays an Delay Faults in Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF marginal delay, test generation, combinational circuit, gate delay faults
12Mart L. Molle, Boaz Yeger Reducing the Effects of Large Propagation Delays on High Speed IEEE 802.3 CSMA/CD Networks using Collision Truncation. Search on Bibsonomy LCN The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
12Stephen Dean Brown, Muhammad M. Khellah, Zvonko G. Vranesic Minimizing FPGA Interconnect Delays. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
12Luciano Lavagno, Kurt Keutzer, Alberto L. Sangiovanni-Vincentelli Synthesis of hazard-free asynchronous circuits with bounded wire delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
12Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Verification of asynchronous interface circuits with bounded wire delays. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
12Jan Karel Lenstra, Marinus Veldhorst The Complexity of Scheduling Trees with Communication Delays (Extended Abstract). Search on Bibsonomy ESA The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
12Sylvie Norre Static Allocation of Tasks on Multiprocessor Architectures with Interprocessor Communication Delays. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Deterministic scheduling, Task allocation on multiprocessor architectures, Stochastic scheduling
12Ronald E. Barkley, T. Paul Lee A Lazy Buddy System Bounded by Two Coalescing Delays per Class. Search on Bibsonomy SOSP The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
12Jeffrey P. Buzen, Annie W. Shum A Unified Operational Treatment of RPS Reconnect Delays. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
9Scott Moeller, Avinash Sridharan, Bhaskar Krishnamachari, Omprakash Gnawali Routing without routes: the backpressure collection protocol. Search on Bibsonomy IPSN The full citation details ... 2010 DBLP  DOI  BibTeX  RDF stochastic network optimization, testbed experiments, wireless sensor networks, routing protocol, collection
9Sayeed Ghani 0001 The impact of self similar traffic on wireless LAN. Search on Bibsonomy IWCMC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance analysis, wireless LAN, network simulation, self similar traffic, pareto distribution, QualNet
9Punyaslok Purkayastha, John S. Baras Convergence results for ant routing algorithms viastochastic approximation. Search on Bibsonomy HSCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF ant routing algorithms, stochastic approximations and learning algorithms, queuing networks
9Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly Performance study of VeSFET-based, high-density regular circuits. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF advanced technology., transistor layout, DFM, regular fabric
9Kazuaki Tanaka, Motoyuki Ozeki, Natsuki Oka The hesitation of a robot: a delay in its motion increases learning efficiency and impresses humans as teachable. Search on Bibsonomy HRI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF hesitation, learning efficiency, teachability, delay
9Aniruddha N. Udipi, Naveen Muralimanohar, Niladrish Chatterjee, Rajeev Balasubramonian, Al Davis, Norman P. Jouppi Rethinking DRAM design and organization for energy-constrained multi-cores. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF chipkill, dram architecture, subarrays, energy-efficiency, locality
9Lin Xie, Azadeh Davoodi Representative path selection for post-silicon timing prediction under variability. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF process variations, post-silicon validation
9Josef Widder, Ulrich Schmid 0001 The Theta-Model: achieving synchrony without clocks. Search on Bibsonomy Distributed Comput. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Clocks and time, Computing models, Partially synchronous systems, Fault-tolerant distributed algorithms
9Chris Muelder, François Gygi, Kwan-Liu Ma Visual Analysis of Inter-Process Communication for Large-Scale Parallel Computing. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Zhanshan Wang, Huaguang Zhang, Wen Yu 0001 Robust Stability of Cohen-Grossberg Neural Networks via State Transmission Matrix. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Xian-Ming Zhang, Qing-Long Han New Lyapunov-Krasovskii Functionals for Global Asymptotic Stability of Delayed Neural Networks. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Holger Flier, Rati Gelashvili, Thomas Graffagnino, Marc Nunkesser Mining Railway Delay Dependencies in Large-Scale Real-World Delay Data. Search on Bibsonomy Robust and Online Large-Scale Optimization The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jwu-Sheng Hu, Chen-Yu Chan, Cheng-Kang Wang, Chieh-Chih Wang Simultaneous localization of mobile robot and multiple sound sources using microphone array. Search on Bibsonomy ICRA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Sabina Serbu, Etienne Rivière, Pascal Felber Network-Friendly Gossiping. Search on Bibsonomy SSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Wei Ding, Gangfeng Yan, Zhiyun Lin, Ying Lan Leader-following formation control based on pursuit strategies. Search on Bibsonomy IROS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Omer H. Abdelrahman, Erol Gelenbe Approximate Analysis of a Round Robin Scheduling Scheme for Network Coding. Search on Bibsonomy EPEW The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance evaluation, Network coding, vacation models
9Jianquan Lu, Daniel W. C. Ho Consensus Seeking and Controlling over Directed Delayed Networks. Search on Bibsonomy Complex (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF arbitrary communication delay, consensus, directed network
9Qiang Luo, Xu Liu, Dongyun Yi Reconstructing Gene Networks from Microarray Time-Series Data via Granger Causality. Search on Bibsonomy Complex (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partial Granger causality, gene regulatory networks, time series data, projection pursuit
9Manchun Tan Dynamic Analysis of Delayed Fuzzy Cellular Neural Networks with Time-Varying Coefficients. Search on Bibsonomy ISNN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Delayed fuzzy neural network, Time-varying coefficients, Exponential convergence
9Jiangshuai Huang, Yongji Wang 0001, Jian Huang 0001 The Separation Property Enhancement of Liquid State Machine by Particle Swarm Optimization. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Liquid state machine, Separation property, Particle swarm optimization
9Prateep Roy, Arben Çela, Yskandar Hamam On the relation of FIM and Controllability Gramian. Search on Bibsonomy SIES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Yi-Chi Chen, Hui-Chin Yang, Chung-Ping Chung, Wei-Ting Wang Dynamic Reconfigurable Shaders with Load Balancing for Embedded Graphics Processing. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Jacob Sorensen, Scott B. Baden Hiding Communication Latency with Non-SPMD, Graph-Based Execution. Search on Bibsonomy ICCS (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF non-SPMD, coarse grain dataflow, parallel programming, latency tolerance
9Christoph Lenzen 0001, Thomas Locher, Roger Wattenhofer Tight bounds for clock synchronization. Search on Bibsonomy PODC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF gradient property, optimal skew bounds, clock synchronization
9Weihua Chen, Xia Lei, Shaoqian Li OFDM Channel Estimation and Signal Detection on Nonintegral-Delay and Fast Time-Varying Channels. Search on Bibsonomy RSKT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Fast time-varying channel, nonintegral-delay, channel estimation, signal detection, ESPRIT
9Colin J. Ihrig, Gerold Joseph Dhanabalan, Alex K. Jones A low-power CMOS thyristor based delay element with programmability extensions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF delay element, thyristor, low power
9Kelageri Nagaraj, Sandip Kundu Process variation mitigation via post silicon clock tuning. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF post-silicon tuning, performance, process variation
9Jacob White 0001 Design tools for emerging technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF glsvlsi 2009 invited talk
9Régis Martinez, Hélène Paugam-Moisy Algorithms for Structural and Dynamical Polychronous Groups Detection. Search on Bibsonomy ICANN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
9Sari Onaissi, Khaled R. Heloue, Farid N. Najm Clock skew optimization via wiresizing for timing sign-off covering all process corners. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF clock skew optimization, parameterized timing analysis, sign-off, wiresizing, variability
9Xijie Zeng, Angela C. Sodan Job Scheduling with Lookahead Group Matchmaking for Time/Space Sharing on Multi-core Parallel Machines. Search on Bibsonomy JSSPP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF semi time sharing, lookahead matchmaking, job groups, space sharing
9Tao Li 0024, Yang Yi 0001, Lei Guo 0003, Hong Wang 0001 Delay-dependent fault detection and diagnosis using B-spline neural networks and nonlinear filters for time-delay stochastic systems. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Xin Wang 0006, Ata Kabán A dynamic bibliometric model for identifying online communities. Search on Bibsonomy Data Min. Knowl. Discov. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Online community identification, Temporal delay, Clustering, Markov chain, Latent variable model
9Mohamed Anane, Hamid Bessalah, Mohamed Issad, Nadjia Anane, Hassen Salhi Higher Radix and Redundancy Factor for Floating Point SRT Division. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Rohit Singhal, Gwan Choi, Rabi N. Mahapatra Data Handling Limits of On-Chip Interconnects. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
9Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu Active Cache Emulator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 14022 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license