The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Alvernon Walker A Step Response Based Mixed-Signal BIST Approach . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Wei-Cheng Lai, Jing-Reng Huang, Kwang-Ting (Tim) Cheng Embedded-Software-Based Approach to Testing Crosstalk-Induced Faults at On-Chip Buses. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Janusz Sosnowski, Tomasz Bech Testing Arithmetic Coprocessor in System Environment. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Marly Roncken, Ken S. Stevens, Rajesh Pendurkar, Shai Rotem, Parimal Pal Chaudhuri CA-BIST for Asynchronous Circuits: A Case Study on the RAPPID Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF pulse logic, switch-level fault simulation, Cellular Automata, BIST, asynchronous circuits, testability, stuck-at faults, domino logic, self-timed circuits, dynamic circuits
9Chuang Cheng, Chih-Tsun Huang, Jing-Reng Huang, Cheng-Wen Wu, Chen-Jong Wey, Ming-Chang Tsai BRAINS: A BIST Compiler for Embedded Memories. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Jeongjin Roh, Jacob A. Abraham A Comprehensive TDM Comparator Scheme for Effective Analysis of Oscillation-Based Test. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation test, BIST, signature, analog, time-division multiplexing, comparator, mixed-signal
9Laurence Goodby, Alex Orailoglu Redundancy and testability in digital filter datapaths. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Samir Boubezari, Eduard Cerny, Bozena Kaminska, Benoit Nadeau-Dostie Testability analysis and test-point insertion in RTL VHDL specifications for scan-based BIST. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Wenyi Feng, Fred J. Meyer, Fabrizio Lombardi Novel Control Pattern Generators for Interconnect Testing with Boundary Scan. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST, boundary scan, Interconnect testing
9Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Allocation Techniques for Reducing BIST Area Overhead of Data Paths. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9José M. Miranda A BIST and Boundary-Scan Economics Framework. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Christian Dufaza, Yervant Zorian On the generation of pseudo-deterministic two-patterns test sequence with LFSRs. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Shyue-Kung Lu, Jen-Chuan Wang, Cheng-Wen Wu C-testable design techniques for iterative logic arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Steve Vinoski RISE++: A Symbolic Environment for Scan-Based Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9David R. Tryon Self-testing with correlated faults. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
9Kanad Chakraborty, Shriram Kulkarni, Mayukh Bhattacharya, Pinaki Mazumder, Anurag Gupta A physical design tool for built-in self-repairable RAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
9Sorin Liviu Jurj, Raul Rotar Increasing the Solar Reliability Factor of a Dual-Axis Solar Tracker Using an Improved Online Built-In Self-Test Architecture. Search on Bibsonomy IEEE Access The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Mohsen Askarzadeh, Majid Haghparast, Sam Jabbehdari Power consumption reduction in built-in self-test circuits. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Storage and Counter Based Logic Built-In Self-Test. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Storage-Based Logic Built-In Self-Test With Partitioned Deterministic Compressed Tests. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Arjun Chaudhuri, Sanmitra Banerjee, Jinwoo Kim, Sung Kyu Lim, Krishnendu Chakrabarty Built-In Self-Test of High-Density and Realistic ILV Layouts in Monolithic 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Xiangyu Li, Pengjun Wang, Gang Li, Yuejun Zhang Design of a Novel Self-Test-on-Chip Interface ASIC for Capacitive Accelerometers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Storage-Based Logic Built-In Self-Test With Cyclic Tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Ya Hai, Fei Liu, Yongshan Wang, Jing Kang An all-digital built-in-self-test scheme for duty cycle corrector with de-skew circuit in NAND Flash memory. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Yan Li, Weifeng Yang, Jiang Li, Yanfang Yuan, Hu Zhang, Fengdi Wang, Yijun Cui Dynamic self-test scheme and authentication protocol for improving robustness of strong PUF. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Nicola Ferrante, Francesco Terrosi, Luca Maruccio, Francesco Rossi, Luca Fanucci, Andrea Bondavalli HUSTLE: A Hardware Unit for Self-test-Libraries Efficient Execution. Search on Bibsonomy ApplePies The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Hao Cheng, Chi-Jhe Li, Hung-Lin Chen, Jiun-Lang Huang BDD-Based Self-Test Program Generation for Processor Cores. Search on Bibsonomy ITC-Asia The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Riccardo Cantoro, Sandro Sartoni, Matteo Sonza Reorda, Lorena Anghel, Michele Portolan Evaluating the Impact of Aging on Path-Delay Self-Test Libraries. Search on Bibsonomy DFT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Subashini Gopalsamy, Irith Pomeranz Fully Deterministic Storage Based Logic Built-In Self-Test. Search on Bibsonomy VTS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Yunfei Gu, Xingyu Wang, Zixiao Chen, Chentao Wu, Xinfei Guo, Jie Li 0002, Minyi Guo, Song Wu, Rong Yuan, Taile Zhang, Yawen Zhang, Haoran Cai Improving Productivity and Efficiency of SSD Manufacturing Self-Test Process by Learning-Based Proactive Defect Prediction. Search on Bibsonomy ITC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
9Ireneusz Mrozek, Nikolai A. Shevchenko, Vyacheslav N. Yarmolik Universal Address Sequence Generator for Memory Built-in Self-test. Search on Bibsonomy Fundam. Informaticae The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Yiming Yu, Dong Chen, Xiaoning Zhang, Chenxi Zhao, Huihua Liu, Yunqiu Wu, Wen-Yan Yin, Kai Kang A Ku-Band Eight-Element Phased-Array Transmitter With Built-in Self-Test Capability in 180-nm CMOS Technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Ying Hou, Jianyu Fu, Chao Liu, Yihong Lu, Zhenfeng Li, Dapeng Chen A Time-Efficient Self-Test Method for Evaluating Thermal Parameters of Uncooled Infrared Detectors. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Storage-Based Logic Built-in Self-Test With Multicycle Tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Brett Sparkman, Scott C. Smith, Jia Di Built-In Self-Test for Multi-Threshold NULL Convention Logic Asynchronous Circuits using Pipeline Stage Parallelism. Search on Bibsonomy J. Electron. Test. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Mehmet Ince, Bora Bilgic, Sule Ozev Digital Fault-based Built-in Self-test and Evaluation of Low Dropout Voltage Regulators. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Dilip Kumar Maity, Surajit Kumar Roy, Chandan Giri A Cost-Effective Built-In Self-Test Mechanism for Post-Manufacturing TSV Defects in 3D ICs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Arjun Chaudhuri, Sanmitra Banerjee, Jinwoo Kim, Heechun Park, Bon Woong Ku, Sukeshwar Kannan, Krishnendu Chakrabarty, Sung Kyu Lim Built-in Self-Test and Fault Localization for Inter-Layer Vias in Monolithic 3D ICs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Ireneusz Mrozek, Nikolai A. Shevchenko, Vyacheslav N. Yarmolik Universal Address Sequence Generator for Memory Built-in Self-test. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Honghao Fu Constant-sized correlations are sufficient to self-test maximally entangled states with unbounded dimension. Search on Bibsonomy Quantum The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Riccardo Cantoro, Francesco Garau, Patrick Girard 0001, Nima Kolahimahmoudi, Sandro Sartoni, Matteo Sonza Reorda, Arnaud Virazel Effective techniques for automatically improving the transition delay fault coverage of Self-Test Libraries. Search on Bibsonomy ETS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Youssef Abdelkareem, Islam Nasr, Lobna Nassar, Fakhri Karray COVID-19 Self-Test Guidance System For Swab Collection Using Deep Learning. Search on Bibsonomy SMC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Gayatri Malhotra, Punithavathi Duraiswamy, J. K. Kishore GA Evolved Configuration Data for Embryonic Architecture with Built-in Self-test. Search on Bibsonomy ISDA (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Mona Ganji, Marampally Saikiran, Degang Chen 0001 All Digital Low-Overhead SAR ADC Built-In Self-Test for Fault Detection and Diagnosis. Search on Bibsonomy VTS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Takumi Uezono, Yi He 0010, Yanjing Li Achieving Automotive Safety Requirements through Functional In-Field Self-Test for Deep Learning Accelerators. Search on Bibsonomy ITC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
9Masato Kohtani, Tomotoshi Murakami, Yoshiyuki Utagawa, Tomoyuki Arai, Shinji Yamaura 76- to 81-GHz CMOS Built-In Self-Test With 72-dB C/N and Less Than 1 ppm Frequency Tolerance for Multi-Channel Radar Applications. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9 Bit-swapping linear feedback shift register (LFSR) for power reduction using pre-charged XOR with multiplexer technique in built in self-test. Search on Bibsonomy J. Ambient Intell. Humaniz. Comput. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Ahmed S. Emara, Denis Romanov, Gordon W. Roberts, Sadok Aouini, Soheyl Ziabakhsh, Mahdi Parvizi, Naim Ben-Hamida An Area-Efficient High-Resolution Segmented ΣΔ-DAC for Built-In Self-Test Applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Lanhua Xia, Jifei Tang All-digital built-in self-test scheme for charge-pump phase-locked loops. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Vasudevan Madampu Suryasarman, Santosh Biswas, Aryabartta Sahu Fragmented software-based self-test technique for online intermittent fault detection in processors. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Antonios Pavlidis, Marie-Minerve Louërat, Eric Faehn, Anand Kumar, Haralampos-G. Stratigopoulos SymBIST: Symmetry-Based Analog and Mixed-Signal Built-In Self-Test for Functional Safety. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Storage-Based Built-In Self-Test for Gate-Exhaustive Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Mehmet Ince, Ender Yilmaz, Wei Fu, Joonsung Park, Krishnaswamy Nagaraj, LeRoy Winemberg, Sule Ozev Fault-based Built-in Self-test and Evaluation of Phase Locked Loops. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Linda Mansson, Pernilla Bäckman, Fredrik Öhberg, Jonas Sandlund, Jonas Selling, Marlene Sandlund Evaluation of Concurrent Validity between a Smartphone Self-Test Prototype and Clinical Instruments for Balance and Leg Strength. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Fanruo Meng, Fateme S. Hosseini, Chengmo Yang A Self-Test Framework for Detecting Fault-induced Accuracy Drop in Neural Network Accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Haochen Wu, Xilong Shen, Hailong Ma, Chencheng Yu, Jian Zhao 0004 Mismatch of nonlinear stiffness in differential MEMS resonating sensors and its self-test and calibration technique. Search on Bibsonomy ICTA The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Max Bothe, Christoph Meinel The Impact of Mobile Learning on Students' Self-Test Behavior in MOOCs. Search on Bibsonomy L@S The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Riccardo Cantoro, Patrick Girard 0001, Riccardo Masante, Sandro Sartoni, Matteo Sonza Reorda, Arnaud Virazel Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Anuraag Narang, Balaji Venn, S. Saqib Khursheed, Peter Harrod An Exploration of Microprocessor Self-Test Optimisation Based On Safe Faults. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Irith Pomeranz Zoom-In Feature for Storage-Based Logic Built-In Self-Test. Search on Bibsonomy DFT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Donghyun Han, Youngkwang Lee, Sooryeong Lee, Sungho Kang 0001 Hardware Efficient Built-in Self-test Architecture for Power and Ground TSVs in 3D IC. Search on Bibsonomy ISOCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Yi He 0010, Takumi Uezono, Yanjing Li Efficient Functional In-Field Self-Test for Deep Learning Accelerators. Search on Bibsonomy ITC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
9Yasuhiro Ogasahara, Yohei Hori, Toshihiro Katashita, Tomoki Iizuka, Hiromitsu Awano, Makoto Ikeda, Hanpei Koike Implementation of pseudo-linear feedback shift register-based physical unclonable functions on silicon and sufficient Challenge-Response pair acquisition using Built-In Self-Test before shipping. Search on Bibsonomy Integr. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Zhangqing He, Wanbo Chen, Lingchao Zhang, Gaojun Chi, Qi Gao, Lein Harn A Highly Reliable Arbiter PUF With Improved Uniqueness in FPGA Implementation Using Bit-Self-Test. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Paolo Bernardi, Riccardo Cantoro, Sergio de Luca, Ernesto Sánchez 0001, Alessandro Sansonetti, Giovanni Squillero Software-Based Self-Test Techniques for Dual-Issue Embedded Processors. Search on Bibsonomy IEEE Trans. Emerg. Top. Comput. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Adeboye Stephen Oyeniran, Raimund Ubar, Maksim Jenihhin, Jaan Raik High-Level Implementation-Independent Functional Software-Based Self-Test for RISC Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Andrea Floridia, Tzamn Melendez Carmona, Davide Piumatti, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Rosario Martorana, Mose Alessandro Pernice Deterministic Cache-based Execution of On-line Self-Test Routines in Multi-core Automotive System-on-Chips. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Panos Ioakim, Iasonas F. Triantis On-Demand MEMS Accelerometer Dynamic Response Acquisition and Output Dithering via Self Test Pin Actuation. Search on Bibsonomy IEEE SENSORS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Manhong Zhu, Jia Li 0022, Weibing Wang, Dapeng Chen A Built-In Self-Test Method For MEMS Piezoresistive Sensor. Search on Bibsonomy ETS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Mehmet Ince, Sule Ozev Digital Defect Based Built-in Self-Test for Low Dropout Voltage Regulators. Search on Bibsonomy ETS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Aishwarya Natarajan, Jennifer Hasler Built-in Self-Test of Vector Matrix Multipliers on a Reconfigurable Device. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Brett Sparkman, Scott C. Smith, Jia Di Built-In Self-Test for Multi-Threshold NULL Convention Logic Asynchronous Circuits. Search on Bibsonomy VTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Max Bothe, Jan Renz, Christoph Meinel On the Acceptance and Effects of Recapping Self-Test Questions in MOOCs. Search on Bibsonomy EDUCON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
9Zeeshan Haider, Khalid Javeed, Mei Song, Xiaojun Wang 0001 A Low-Cost Self-Test Architecture Integrated With PRESENT Cipher Core. Search on Bibsonomy IEEE Access The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Edinei Santin, Luís B. Oliveira, João Goes Built-in self test of high speed analog-to-digital converters. Search on Bibsonomy IEEE Instrum. Meas. Mag. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Dmitry V. Efanov, Valery V. Sapozhnikov, Vladimir V. Sapozhnikov, Dmitrii V. Pivovarov Synthesis of Built-in Self-Test Control Circuits Based on the Method of Boolean Complement to Constant-Weight 1-out-of-n Codes. Search on Bibsonomy Autom. Control. Comput. Sci. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Vasudevan Madampu Suryasarman, Santosh Biswas, Aryabartta Sahu RSBST: an Accelerated Automated Software-Based Self-Test Synthesis for Processor Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Osman Emir Erol, Sule Ozev Knowledge- and Simulation-Based Synthesis of Area-Efficient Passive Loop Filter Incremental Zoom-ADC for Built-In Self-Test Applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Shu-Feng Cheng, Po-Tsang Huang, Li-Chun Wang 0001, Mau-Chung Frank Chang Built-In Self-Test/Repair Methodology for Multiband RF-Interconnected TSV 3D Integration. Search on Bibsonomy IEEE Des. Test The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Andrea Floridia, Gianmarco Mongano, Davide Piumatti, Ernesto Sánchez 0001 Hybrid on-line self-test architecture for computational units on embedded processor cores. Search on Bibsonomy DDECS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Ching-Che Chung, Wei-Jung Chu, Yi-Ting Tsai Built-in Self-Test Circuits for All-digital Phase-Locked Loops. Search on Bibsonomy ICCE-TW The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Michelangelo Grosso, Salvatore Rinaudo, Andrea Casalino, Matteo Sonza Reorda Software-Based Self-Test for Transition Faults: a Case Study. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Michelangelo Grosso, Matteo Sonza Reorda, Salvatore Rinaudo Software-Based Self-Test for Delay Faults. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Paolo Bernardi, Riccardo Cantoro, Andrea Floridia, Davide Piumatti, C. Pogonea, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Alessandro Sansonetti Non-Intrusive Self-Test Library for Automotive Critical Applications: Constraints and Solutions. Search on Bibsonomy DATE The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Wei-Hsuan Yang, Jin-Fu Li 0001, Chun-Lung Hsu, Chi-Tien Sun, Shih-Hsu Huang A Built-in Self-Test Scheme for TSVs of Logic-DRAM Stacked 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Arjun Chaudhuri, Sanmitra Banerjee, Heechun Park, Bon Woong Ku, Krishnendu Chakrabarty, Sung Kyu Lim Built-in Self-Test for Inter-Layer Vias in Monolithic 3D ICs. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Mehmet Ince, Ender Yilmaz, Wei Fu, Joonsung Park, Krishnaswamy Nagaraj, LeRoy Winemberg, Sule Ozev Digital Built-in Self-Test for Phased Locked Loops to Enable Fault Detection. Search on Bibsonomy ETS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Ahmed E. Amer, Ahmed Ashry, Mohamed A. Y. Abdalla, Islam A. Eshrah Gilbert Based Power Detector for 5G mm-Wave Transceivers Built-in-Self Test. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Andrea Floridia, Davide Piumatti, Annachiara Ruospo, Ernesto Sánchez 0001, Sergio de Luca, Rosario Martorana A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips. Search on Bibsonomy ITC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Vasudevan M. S, Santosh Biswas, Aryabartta Sahu RSBST: A Rapid Software-Based Self-Test Methodology for Processor Testing. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Sara Carbonara, Paolo Bernardi, Marco Restifo A Hybrid In-Field Self-Test Technique for SoCs. Search on Bibsonomy DTIS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
9Aydin Dirican, Cagatay Ozmen, Martin Margala Leakage-Aware Droop Measurement Built-in Self-Test Circuit for Digital Low-Dropout Regulators. Search on Bibsonomy J. Electron. Test. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Maryam Shafiee, Navankur Beohar, Priyanka Bakliwal, Sidhanto Roy, Debashis Mandal, Bertan Bakkaloglu, Sule Ozev A Disturbance-Free Built-In Self-Test and Diagnosis Technique for DC-DC Converters. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Andreas Helwig, Angelika Hackner, J. Gerhard Müller, Dario Zappa, Giorgio Sberveglieri Self-Test Procedures for Gas Sensors Embedded in Microreactor Systems. Search on Bibsonomy Sensors The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Sanghoon Lee 0008, Congyin Shi, Jiafan Wang 0002, Adriana C. Sanabria-Borbon, Hatem Osman, Jiang Hu, Edgar Sánchez-Sinencio A Built-In Self-Test and In Situ Analog Circuit Optimization Platform. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Navankur Beohar, Venkata N. K. Malladi, Debashis Mandal, Sule Ozev, Bertan Bakkaloglu Online Built-In Self-Test of High Switching Frequency DC-DC Converters Using Model Reference Based System Identification Techniques. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Sebastian Nessler, Maximilian Marx 0002, Yiannos Manoli A Self-Test on Wafer Level for a MEM Gyroscope Readout Based on ΔΣ Modulation. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Tao Chen 0006, Xiankun Jin, Randall L. Geiger, Degang Chen 0001 USER-SMILE: Ultrafast Stimulus Error Removal and Segmented Model Identification of Linearity Errors for ADC Built-in Self-Test. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Jan Schat On-line RF built-in self-test using noise injection and transmitter signal modulation by phase shifter. Search on Bibsonomy DATE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
9Wenjian Ke, Yi Wang, Hong Zhou, Tie Li, Yuelin Wang Research on Self-Test Method Based on Thermopile Infrared Sensor. Search on Bibsonomy IEEE SENSORS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license