The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for wiring with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1956-1973 (15) 1974-1978 (16) 1979-1981 (15) 1982-1985 (16) 1986-1987 (15) 1988-1989 (27) 1990 (17) 1991-1992 (24) 1993-1994 (27) 1995 (23) 1996 (20) 1997 (15) 1998 (57) 1999 (34) 2000 (41) 2001 (30) 2002 (41) 2003 (41) 2004 (43) 2005 (37) 2006 (63) 2007 (55) 2008 (40) 2009 (24) 2010 (16) 2011-2012 (20) 2013-2014 (30) 2015-2016 (25) 2017-2018 (24) 2019-2020 (23) 2021 (20) 2022 (20) 2023 (18) 2024 (6)
Publication types (Num. hits)
article(323) incollection(7) inproceedings(603) phdthesis(4) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 553 occurrences of 391 keywords

Results
Found 938 publication records. Showing 938 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Federico Silla, José Duato On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF block multiplexing, channel pipelining, flow control, Networks of workstations, virtual channels, wormhole switching, irregular topology
9Federico Silla, José Duato High-Performance Routing in Networks of Workstations with Irregular Topology. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF adaptive routing, Networks of workstations, wormhole switching, deadlock avoidance, irregular topologies
9Rajeev Sivaram, Craig B. Stunkel, Dhabaleswar K. Panda 0001 Implementing Multidestination Worms in Switch-Based Parallel Systems: Architectural Alternatives and Their Impact. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF switch/router architecture, performance evaluation, multicast, interconnection networks, broadcast, collective communication, wormhole switching, Parallel computer architecture, cut-through switching
9Sung-Woo Hur, Ashok Jagannathan, John Lillis Timing-driven maze routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Miroslaw Kutylowski, Krzysztof Lorys, Brigitte Oesterdiekhoff, Rolf Wanka Periodification scheme: constructing sorting networks with constant period. Search on Bibsonomy J. ACM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF comparator network
9Jean-Baptiste Boullié, Michel Brun A New Rolling Stock Architecture Using Safety Computers and Networks. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Alexander Marquardt, Vaughn Betz, Jonathan Rose Timing-driven placement for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Youxin Gao, D. F. Wong 0001 Wire-Sizing for Delay Minimization and Ringing Control Using Transmission Line Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Xavier Molero, Federico Silla, Vicente Santonja Modeling and Simulation of a Network of Workstations with Wormhole Switching. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF performance evaluation, modeling, routing algorithms, networks of workstations, wormhole switching, irregular topologies
9José Flich, Manuel P. Malumbres, Pedro López 0001, José Duato Improving Routing Performance in Myrinet Networks. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Networks of workstations, wormhole switching, Myrinet, irregular topologies, minimal routing
9José Flich, Manuel P. Malumbres, Pedro López 0001, José Duato Performance evaluation of a new routing strategy for irregular networks with source routing. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF networks of workstations, wormhole switching, irregular topologies, source routing, minimal routing
9Shervin Hojat, Paul Kartschoke Techniques for Improving Timing Convergence of Advanced Microprocessors. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Thomas Kutzschebauch Efficient Logic Optimization Using Regularity Extraction. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Henry G. Dietz, Timothy Mattox Compiler Techniques for Flat Neighborhood Networks. Search on Bibsonomy LCPC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9José Flich, Pedro López 0001, Manuel P. Malumbres, José Duato Improving the Performance of Regular Networks with Source Routing. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Chi-Hsiang Yeh, Emmanouel A. Varvarigos, Behrooz Parhami Multilayer VLSI Layout for Interconnection Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Olav Lysne, José Duato Fast Dynamic Reconfiguration in Irregular Networks. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Dietrich Birngruber, Markus Hof Using Plans for Specifying Preconfigured Bean Sets. Search on Bibsonomy TOOLS (34) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Compositional Patterns, Composition Automation, Components, JavaBeans
9Minghorng Lai, D. F. Wong 0001 Maze routing with buffer insertion and wiresizing. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9William J. Dally, Andrew Chang 0001 The role of custom design in ASIC Chips. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Manish Goel, Naresh R. Shanbhag Dynamic algorithm transformations (DAT)-a systematic approach to low-power reconfigurable signal processing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Min Xu, Fadi J. Kurdahi Accurate prediction of quality metrics for logic level designs targeted toward lookup-table-based FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Rong Lin, Stephan Olariu, James L. Schwing, Biing-Feng Wang The Mesh with Hybrid Buses: An Efficient Parallel Architecture for Digital Geometry. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF mesh with hybrid buses, cost-optimal algorithms, pattern recognition, image processing, broadcasting, VLSI architectures, digital geometry, cellular systems
9Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Federico Silla, José Duato Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations? Search on Bibsonomy CANPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Gerald G. Pechanek, Stamatis Vassiliadis, Nikos Pitsianis ManArray Processor Interconnection Network: An Introduction. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Simon Knowles A Family of Adders. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Is wire tapering worthwhile? Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Erwin Riederer, Rolf Matzner labAlive - A Java Toolbox for the Simulation of Systems. Search on Bibsonomy ICMCS, Vol. 2 The full citation details ... 1999 DBLP  DOI  BibTeX  RDF animation and computer graphics, on-line teaching, Java, educational applications
9Lieven Eeckhout, Henk Neefs, Koenraad De Bosschere, Jan Van Campenhout Investigating the Implementation of a Block Structured Architecture in an Early Design Stage. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Miuno Toshihiro, Toshimasa Watanabe Extracting nonplanar connections in a terminal-vertex graph. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Mark J. W. Rodwell, Q. Lee, Dino Mensa, J. Guthrie, Yoram Betser, S. C. Martin, R. P. Smith, S. Jaganathan, Thomas Mathew, P. Krishnan, C. Serhan, Stephen I. Long Ultra high frequency integrated circuits using transferred substrate heterojunction bipolar transistors. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9John Poulton Problems and Prospects for Electrical Signaling. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9James D. Meindl XXI Century Gigascale Integration (GSI) : The Interconnect Problem. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jim E. Crenshaw, Majid Sarrafzadeh, Prithviraj Banerjee, Pradeep Prabhakaran An Incremental Floorplanner. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Kanad Chakraborty, Natesan Venkateswaran Congestion Mitigation During Placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9José Flich, Manuel P. Malumbres, Pedro López 0001, José Duato Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation. Search on Bibsonomy ICPP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF adaptive routing, Networks of workstations, wormhole switching, irregular topologies, execution-driven simulation
9Jayadeva Sequential Chaotic Annealing and its Application to Multilayer Channel Routing. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Vaughn Betz, Jonathan Rose Effect of the prefabricated routing track distribution on FPGA area-efficiency. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Bongjin Jung, Wayne P. Burleson Efficient VLSI for Lempel-Ziv compression in wireless data communication networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Haluk Konuk, F. Joel Ferguson Oscillation and sequential behavior caused by opens in the routing in digital CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Hiroshi Murata, Kunihiro Fujiyoshi, Mineo Kaneko VLSI/PCB placement with obstacles based on sequence pair. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Chris C. N. Chu, Martin D. F. Wong A matrix synthesis approach to thermal placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Mladen Berekovic, Peter Pirsch, Johannes Kneip An Algorithm-Hardware-System Approach to VLIW Multimedia Processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Christian Ebner Efficiency evaluation of a time-triggered architecture for vehicle body-electronics. Search on Bibsonomy ECRTS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Atsushi Takahara, Toshiaki Miyazaki, Takahiro Murooka, Masaru Katayama, Kazuhiro Hayashi, Akihiro Tsutsui, Takaki Ichimori, Ken-nosuke Fukami More Wires and Fewer LUTs: A Design Methodology for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Jian Li 0061, Rajesh K. Gupta 0001 An Algorithm To Determine Mutually Exclusive Operations In Behavioral Descriptions. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Andrew B. Kahng, Sudhakar Muddu, Egino Sarto, Rahul Sharma Interconnect Tuning Strategies for High-Performance Ics. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Keiki Takadama, Shinichi Nakasuka, Takao Terano Amalyzing the Roles of Problem Solving and Learning in Organizational-Learning Oriented Classifier System. Search on Bibsonomy PRICAI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF print circuit board design, multiagent system, learning classifier system, organizational learning
9Tilmann Stöhr, Markus Alt, Asmus Hetzel, Jürgen Koehl Analysis, reduction and avoidance of crosstalk on VLSI chips. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Mehmet Aktuna, Rob A. Rutenbar, L. Richard Carley Device-level early floorplanning algorithms for RF circuits. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Eric Kusse, Jan M. Rabaey Low-energy embedded FPGA structures. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF dual voltage, pass-transistors, FPGAs, interconnect network, embedded, power, low energy, low swing
9Hui Zhang 0008, Jan M. Rabaey Low-swing interconnect interface circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Peter Glösekötter, Andreas Kanstein, Stefan Jung, Karl Goser Implementation of a RBF Network Based on Possibilistic Reasoning. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Federico Silla, Manuel P. Malumbres, José Duato, Donglai Dai, Dhabaleswar K. Panda 0001 Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic. Search on Bibsonomy ICPP The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Charles E. Stroud, Sajitha Wijesuriya, Carter Hamilton, Miron Abramovici Built-in self-test of FPGA interconnect. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Daniel R. Knebel, Pia N. Sanda, Moyra K. McManus, Jeffrey A. Kash, James C. Tsang, David P. Vallett, Leendert M. Huisman, Phil Nigh, Rick Rizzolo, Peilin Song, Franco Motika Diagnosis and characterization of timing-related defects by time-dependent light emission. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Balakrishna Kumthekar, Luca Benini, Enrico Macii, Fabio Somenzi In-Place Power Optimization for LUT-Based FPGAs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Phiroze N. Parakh, Richard B. Brown, Karem A. Sakallah Congestion Driven Quadratic Placement. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
9Chien-Kuo V. Tien, Kelvin Lewis, Hans J. Greub, Tom Tsen, John F. McDonald 0001 Design of a 32 b monolithic microprocessor based on GaAs HMESFET technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Chih-Chang Lin, Malgorzata Marek-Sadowska On designing universal logic blocks and their application to FPGA design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Ralf Schmid, Reinhold Schmitt, Matthias Brunner, Oliver Gessner, Matthias Sturm Electron Beam Probing - A Solution for MCM Test and Failure Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF electron-beam testing, short, open, MCM, printed circuit board
9Johannes Kneip, Mladen Berekovic, Jens Peter Wittenburg, Willm Hinrichs, Peter Pirsch An Algorithm Adapted Autonomous Controlling Concept for a Parallel Single-Chip Digital Signal Processor. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Keith M. Carrig, Albert M. Chu, Frank D. Ferraiolo, John G. Petrovick, P. Andrew Scott, Richard J. Weiss A Clock Methodology for High-Performance Microprocessors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López 0001, José Duato Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. Search on Bibsonomy CANPC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Woo-Jong Hahn, Kee-Wook Rim, Soo-Won Kim SPAX: A New Parallel Processing System for Commercial Application. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF SPAX, commercial applications, Xcent-Net, 2.67 Gbyte/s, scalability, parallel architectures, bandwidth, SMP, hierarchical network, parallel processing system
9Jack Greenbaum, Michael Baxter Increased FPGA capacity enables scalable, flexible CCMs: an example from image processing. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Mohankumar Guruswamy, Martin D. F. Wong Echelon: a multilayer detailed area router. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Hiroshi Murata, Kunihiro Fujiyoshi, Shigetoshi Nakatake, Yoji Kajitani VLSI module placement based on rectangle-packing by the sequence-pair. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Satyamurthy Pullela, Noel Menezes, Lawrence T. Pileggi Post-processing of clock trees via wiresizing and buffering for robust design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Yoshihiro Konno, Kazushi Nakamura, Tatsushige Bitoh, Koji Saga, Seiken Yano A Consistent Scan Design System for Large-Scale ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9David A. Eckhardt, Peter Steenkiste Measurement and Analysis of the Error Characteristics of an In-Building Wireless Network. Search on Bibsonomy SIGCOMM The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Ethernet
9Henk L. Muller, Paul W. A. Stallard, David H. D. Warren Implementing the Data Diffusion Machine Using Crossbar Routers. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multithreading, multitasking, COMA, virtual shared memory
9Daniel Brand, Chandramouli Visweswariah Inaccuracies in power estimation during logic synthesis. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF glitch power, simulation, logic synthesis, power estimation, power optimization
9Ki-Seok Chung, Rajesh K. Gupta 0001, C. L. Liu 0001 An algorithm for synthesis of system-level interface circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF System-Level Design Issues, Optimization, Algorithm, Interface Synthesis
9Fran Hanchek, Shantanu Dutt Node-Covering Based Defect and Fault Tolerance Methods for Increased Yield in FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit reconfiguration, node covering, fault tolerance, field programmable gate array (FPGA), yield improvement
9Sandip Das 0001, Bhargab B. Bhattacharya Channel routing in Manhattan-diagonal model. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Manhattan-diagonal model, layout grid, cyclic vertical constraints, low via count, reduced wire length, VLSI, network routing, circuit layout CAD, VLSI layout, integrated circuit layout, channel routing, output-sensitive algorithm
9Vojin G. Oklobdzija, David Villeger Improving multiplier design by using improved column compression tree and optimized final adder in CMOS technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Jun Dong Cho, Majid Sarrafzadeh A buffer distribution algorithm for high-performance clock net optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Dale D. Harman, Gang Huang, Gi-Hong Im, Mai-Huong Nguyen, Jean-Jacques Werner, Michael K. Wong Local Distribution for Interactive Multimedia TV to the Home. Search on Bibsonomy IEEE Multim. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF carrierless AM/PM (CAP), residential networking, fiber-to-the-curb, unshielded twisted pair, switched digital video, digital local distribution technology, ATM, interactive TV
9Heinrich J. Stüttgen Network Evolution and Multimedia Communication. Search on Bibsonomy IEEE Multim. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Jason Cong, Kwok-Shing Leung Optimal wiresizing under Elmore delay model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Ching-Dong Chen, Yuh-Sheng Lee, Allen C.-H. Wu, Youn-Long Lin TRACER-fpga: a router for RAM-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Kazuhiro Takahashi, Kazuo Nakajima, Masayuki Terai, Koji Sato Min-cut placement with global objective functions for large scale sea-of-gates arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Wing Ning Li The complexity of segmented channel routing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Jacob Savir Shrinking wide compressors [BIST]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9H. Zhou, Howard C. Card, Gregory E. Bridges Parallel pseudorandom number generation in GaAs cellular automata for high speed circuit testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testing high-speed circuits, cellular automata, pseudorandom number generation, GaAs
9Larry McMurchie, Carl Ebeling PathFinder: A Negotiation-based Performance-driven Router for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Gensoh Matsubara, Nobuhiro Ide, Haruyuki Tago, Seigo Suzuki, Nobuyuki Goto 30-ns 55-b Radix 2 Division and Square Root Using a Self-Timed Circuit. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF simulation, CMOS, division, square root, self-timed, SRT, on-the-fly
9Hiroshi Murata, Kunihiro Fujiyoshi, Shigetoshi Nakatake, Yoji Kajitani Rectangle-packing-based module placement. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Massoud Pedram, Bahman S. Nobandegani, Bryan Preas Design and analysis of segmented routing channels for row-based FPGA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Jeff Griffith, Gabriel Robins, Jeffrey S. Salowe, Tongtong Zhang Closing the gap: near-optimal Steiner trees in polynomial time. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Shekar Rao, Bert Haskell, Ian Yee Trade-off analysis on cost and manufacturing technology of an electronic product: Case study. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF analysis of PCB (printed circuit boards), Benchmarking, trade-off, redesign
9Vojin G. Oklobdzija, David Villeger, Thierry Soulas An integrated multiplier for complex numbers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Kazuhiro Takahashi, Kazuo Nakajima, Masayuki Terai, Koji Sato Adaptive cut line selection in min-cut placement for large scale sea-of-gates arrays. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Chih-Chang Lin, Malgorzata Marek-Sadowska, Duane Gatlin Universal logic gate for FPGA design. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Raja Venkateswaran, Pinaki Mazumder Coprocessor design for multilayer surface-mounted PCB routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9D. Sreenivasa Rao, Fadi J. Kurdahi Hierarchical design space exploration for a class of digital systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Stella N. Batalama, Dimitrios A. Pados, Theodore S. Papatheodorou A heuristic single-row router minimizing interstreet crossings. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Robert Cypher, C. Bernard Shung Generalized trace-back techniques for survivor memory management in the Viterbi algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF trace-back, survivor memory, VLSI area requirements, Viterbi algorithm
Displaying result #801 - #900 of 938 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license