The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for write with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1966 (15) 1967-1975 (18) 1976-1979 (17) 1980-1981 (21) 1982 (15) 1983-1984 (29) 1985 (20) 1986 (16) 1987 (34) 1988 (65) 1989 (58) 1990 (60) 1991 (47) 1992 (52) 1993 (66) 1994 (82) 1995 (71) 1996 (75) 1997 (70) 1998 (88) 1999 (130) 2000 (156) 2001 (163) 2002 (217) 2003 (221) 2004 (294) 2005 (309) 2006 (406) 2007 (423) 2008 (427) 2009 (354) 2010 (169) 2011 (95) 2012 (112) 2013 (101) 2014 (152) 2015 (163) 2016 (171) 2017 (151) 2018 (153) 2019 (172) 2020 (132) 2021 (144) 2022 (118) 2023 (154) 2024 (42)
Publication types (Num. hits)
article(1612) book(15) incollection(17) inproceedings(4388) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5334 occurrences of 2591 keywords

Results
Found 6048 publication records. Showing 6048 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Shizhe Diao, Wangchunshu Zhou, Xinsong Zhang, Jiawei Wang Write and Paint: Generative Vision-Language Models are Unified Modal Learners. Search on Bibsonomy ICLR The full citation details ... 2023 DBLP  BibTeX  RDF
12Romolo Marotta, Federica Montesano, Alessandro Pellegrini 0001, Francesco Quaglia Incremental Checkpointing of Large State Simulation Models with Write-Intensive Events via Memory Update Correlation on Buddy Pages. Search on Bibsonomy DS-RT The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Jongouk Choi, Jianping Zeng 0001, Dongyoon Lee, Changwoo Min, Changhee Jung Write-Light Cache for Energy Harvesting Systems. Search on Bibsonomy ISCA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yiwen Zhang, Guokuan Li, Jiguang Wan, Junyue Wang, Jun Li, Ting Yao, Huatao Wu, Daohui Wang DoW-KV: A DPU-offloaded and Write-optimized Key-Value Store on Disaggregated Persistent Memory. Search on Bibsonomy CLUSTER The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Lewis Tseng, Neo Zhou, Cole Dumas, Tigran Bantikyan, Roberto Palmieri Distributed Multi-writer Multi-reader Atomic Register with Optimistically Fast Read and Write. Search on Bibsonomy SPAA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12David Hildenbrand, Martin Schulz 0001, Nadav Amit Copy-on-Pin: The Missing Piece for Correct Copy-on-Write. Search on Bibsonomy ASPLOS (2) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Mark Sutherland, Babak Falsafi, Alexandros Daglis Cooperative Concurrency Control for Write-Intensive Key-Value Workloads. Search on Bibsonomy ASPLOS (1) The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sandipan Sinha, Manish Trivedi, Jaswinder Singh, Sriharsha Enjapuri, Deepesh Gujjar, Ramesh Halli, Girishankar Gurumurthy An Innovative Write Circuitry for Enhancing a 3nm L1 Cache Performance Across Wide DVFS Range. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Swatilekha Majumdar CAWPR: Contention Aware Write Preemptive Management Policy for Hybrid Last Level Caches. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Reto Achermann, Ilias Karimalis, Margo I. Seltzer Why write address translation OS code yourself when you can synthesize it? Search on Bibsonomy HotOS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Yanqi Pan, Yifeng Zhang, Wen Xia, Xiangyu Zou, Cai Deng HUNTER: Releasing Persistent Memory Write Performance with A Novel PM-DRAM Collaboration Architecture. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Penghao Sun, Litong You, Shengan Zheng, Wanru Zhang, Ruoyan Ma, Jie Yang, Guanzhong Wang, Feng Zhu 0024, Shu Li, Linpeng Huang Learning-based Data Separation for Write Amplification Reduction in Solid State Drives. Search on Bibsonomy DAC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Adrian Boteanu, Du Cheng, Serdar Kadioglu Read-Write-Learn: Self-Learning for Handwriting Recognition. Search on Bibsonomy DocEng The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Kyong-Shik Lee, Sang-Won Lee 0001 Rethinking TPC-C Characteristic: At Logical Write Perspective. Search on Bibsonomy BigComp The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
12Sajani Vithana Private Information Read-Update-Write with Applications to Distributed Learning. Search on Bibsonomy 2023   RDF
12Ali Asghari Adib, David J. Hoelzle Hybrid Control of Flowrate in Microextrusion-Based Direct-Write Additive Manufacturing. Search on Bibsonomy IEEE Control. Syst. Lett. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Nico Ritschel, Felipe Fronchetti, Reid Holmes, Ronald Garcia, David C. Shepherd Can guided decomposition help end-users write larger block-based programs? a mobile robot experiment. Search on Bibsonomy Proc. ACM Program. Lang. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Nancy B. Barr So, You Have to Write a Literature Review: A Guided Workbook for Engineers: Catherine G. P. Berdanier and Joshua B. Lenart: [Book Review]. Search on Bibsonomy IEEE Trans. Prof. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Keonhee Cho, Heekyung Choi, In Jun Jung, Ji Sang Oh, Tae Woo Oh, Ki-Ryong Kim, Giseok Kim, Taemin Choi, Changsu Sim, Taejoong Song, Seong-Ook Jung SRAM Write- and Performance-Assist Cells for Reducing Interconnect Resistance Effects Increased With Technology Scaling. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wantong Li, Xiaoyu Sun 0001, Shanshi Huang, Hongwu Jiang, Shimeng Yu A 40-nm MLC-RRAM Compute-in-Memory Macro With Sparsity Control, On-Chip Write-Verify, and Temperature-Independent ADC References. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury A 40-nm, 64-Kb, 56.67 TOPS/W Voltage-Sensing Computing-In-Memory/Digital RRAM Macro Supporting Iterative Write With Verification and Online Read-Disturb Detection. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jong-Hyeok Yoon, Muya Chang, Win-San Khwa, Yu-Der Chih, Meng-Fan Chang, Arijit Raychowdhury A 40-nm 118.44-TOPS/W Voltage-Sensing Compute-in-Memory RRAM Macro With Write Verification and Multi-Bit Encoding. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jie Li, Liyi Xiao, Linzhe Li, Hongchen Li, He Liu, Chenxu Wang A Write-Buffer Scheme to Protect Cache Memories Against Multiple-Bit Errors. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Moonsoo Kim, Hyokeun Lee, Hyun Kim 0001, Hyuk-Jae Lee WL-WD: Wear-Leveling Solution to Mitigate Write Disturbance Errors for Phase-Change Memory. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saeed Seyedfaraji, Javad Talafy Daryani, Mohamed M. Sabry Aly, Semeen Rehman EXTENT: Enabling Approximation-Oriented Energy Efficient STT-RAM Write Circuit. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Ning Bao, Yunpeng Chai, Xiao Qin 0001, Chuanwen Wang MacroTrend: A Write-Efficient Cache Algorithm for NVM-Based Read Cache. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Keonhee Cho, Juhyun Park, Ki-Ryong Kim, Tae Woo Oh, Seong-Ook Jung SRAM Write Assist Circuit Using Cell Supply Voltage Self-Collapse With Bitline Charge Sharing for Near-Threshold Operation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Young-In Choi, Sungyong Ahn Separating the File System Journal to Reduce Write Amplification of Garbage Collection on ZNS SSDs. Search on Bibsonomy J. Multim. Inf. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Muhammad Imran 0010, Taehyun Kwon, Nur A. Touba, Joon-Sung Yang CEnT: An Efficient Architecture to Eliminate Intra-Array Write Disturbance in PCM. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Suzhen Wu, Chunfeng Du, Weiwei Zhang, Bo Mao, Hong Jiang 0001 DedupHR: Exploiting Content Locality to Alleviate Read/Write Interference in Deduplication-Based Flash Storage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Elnaz Shafigh Fard, Mohammad Ali Jabraeil Jamali, Mohammad Masdari, Kambiz Majidzadeh An efficient NoC router by optimal management of buffer read and write mechanism. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Raul Rodriguez-Esteban New reasons for biologists to write with a formal language. Search on Bibsonomy Database J. Biol. Databases Curation The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Prashanth Barla, Vinod Kumar Joshi, Somashekara Bhat Design and Evaluation of a Self Write-Terminated Hybrid MTJ/CMOS Full Adder Based on LIM Structure. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yu-Pei Liang, Tseng-Yi Chen, Yuan-Hao Chang 0001, Yi-Da Huang, Wei-Kuan Shih Planting Fast-Growing Forest by Leveraging the Asymmetric Read/Write Latency of NVRAM-Based Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Muhammad Imran 0010, Taehyun Kwon, Joon-Sung Yang ADAPT: A Write Disturbance-Aware Programming Technique for Scaled Phase Change Memory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Che-Wei Chang, Chun-Feng Wu, Yuan-Hao Chang 0001, Ming-Chang Yang, Chieh-Fu Chang Leveraging Write Heterogeneity of Phase Change Memory on Supporting Self-Balancing Binary Tree. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saravanan Sethuraman, Venkata Kalyan Tavva, M. B. Srinivas Techniques to Improve Write and Retention Reliability of STT-MRAM Memory Subsystem. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Asitha I. Senanayake, Roger J. Chandler, Tony Daly, Edward Lewis python-ags4: A Python library to read, write, and validate AGS4 geodata files. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Mengya Lei, Fan Li, Fang Wang 0001, Dan Feng 0001, Xiaomin Zou, Renzhi Xiao SecNVM: An Efficient and Write-Friendly Metadata Crash Consistency Scheme for Secure NVM. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Erfan Abbasian, Morteza Gholipour Improved read/write assist mechanism for 10-transistor static random access memory cell. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Christian Hakert, Kuan-Hsun Chen, Horst Schirmeier, Lars Bauer, Paul R. Genssler, Georg von der Brüggen, Hussam Amrouch, Jörg Henkel, Jian-Jia Chen Software-Managed Read and Write Wear-Leveling for Non-Volatile Main Memory. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Gerald C. Kane How to Write an "A" Paper. Search on Bibsonomy J. Assoc. Inf. Syst. The full citation details ... 2022 DBLP  BibTeX  RDF
12You Wu, Lin Li Zallocator: A High Throughput Write-Optimized Persistent Allocator for Non-Volatile Memory. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Karam Cho, Sumeet Kumar Gupta Valley-Spin Hall Effect-based Nonvolatile Memory with Exchange-Coupling-Enabled Electrical Isolation of Read and Write Paths. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Seonil Son, Jaeseo Lim, Youwon Jang, Jaeyoung Lee, Byoung-Tak Zhang Learning to Write with Coherence From Negative Examples. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Hammaad Adam, Ming-Ying Yang, Kenrick Cato, Ioana Baldini, Charles Senteio, Leo Anthony Celi, Jiaming Zeng, Moninder Singh, Marzyeh Ghassemi Write It Like You See It: Detectable Differences in Clinical Notes By Race Lead To Differential Model Recommendations. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Motoi Omachi, Brian Yan, Siddharth Dalmia, Yuya Fujita, Shinji Watanabe 0001 Align, Write, Re-order: Explainable End-to-End Speech Translation via Operation Sequence Generation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sajani Vithana, Sennur Ulukus Private Read Update Write (PRUW) in Federated Submodel Learning (FSL): Communication Efficient Schemes With and Without Sparsification. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Neil Perry, Megha Srivastava, Deepak Kumar 0006, Dan Boneh Do Users Write More Insecure Code with AI Assistants? Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saeed Seyedfaraji, Javad Talafy, Mohamed M. Sabry, Semeen Rehman EXTENT: Enabling Approximation-Oriented Energy Efficient STT-RAM Write Circuit. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wil M. P. van der Aalst How to Write Beautiful Process-and-Data-Science Papers? Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Thomas Egler, Hans Dittmann, Sunanda Thunder, Artur Useinov 3T-1R Analog Write and Digital Read of MRAM for RNG and Low Power Memory Application. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shaolei Zhang, Yang Feng 0004 Modeling Dual Read/Write Paths for Simultaneous Machine Translation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Richard Plant, Valerio Giuffrida, Dimitra Gkatzia You Are What You Write: Preserving Privacy in the Era of Large Language Models. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sajani Vithana, Sennur Ulukus Rate Distortion Tradeoff in Private Read Update Write in Federated Submodel Learning. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sajani Vithana, Sennur Ulukus Private Read Update Write (PRUW) with Storage Constrained Databases. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
12Sian Jin, Dingwen Tao, Houjun Tang, Sheng Di, Suren Byna, Zarija Lukic, Franck Cappello Accelerating Parallel Write via Deeply Integrating Predictive Lossy Compression with HDF5. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Wanrong Zhu, An Yan 0003, Yujie Lu, Wenda Xu, Xin Eric Wang, Miguel P. Eckstein, William Yang Wang Visualize Before You Write: Imagination-Guided Open-Ended Text Generation. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Oleg Sychev Write a Line: Tests with Answer Templates and String Completion Hints for Self-Learning in a CS1 Course. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Tuhin Chakrabarty, Vishakh Padmakumar, He He 0001 Help me write a poem: Instruction Tuning as a Vehicle for Collaborative Poetry Writing. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zheyu Yan, Xiaobo Sharon Hu, Yiyu Shi 0001 SWIM: Selective Write-Verify for Computing-in-Memory Neural Accelerators. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
12Lukas Vogel 0001, Alexander van Renen, Satoshi Imamura, Jana Giceva, Thomas Neumann 0001, Alfons Kemper Plush: A Write-Optimized Persistent Log-Structured Hash-Table. (PDF / PS) Search on Bibsonomy Proc. VLDB Endow. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Adam Binks, Alice Toniolo, Miguel A. Nacenta Representational transformations: Using maps to write essays. Search on Bibsonomy Int. J. Hum. Comput. Stud. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yongjune Kim 0001, Yoocharn Jeon, Hyeokjin Choi, Cyril Guyot, Yuval Cassuto Optimizing Write Fidelity of MRAMs by Alternating Water-Filling Algorithm. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Voon-Kean Wong, Sarbudeen Mohamed Rabeek, Szu Cheng Lai, Marilyne Philibert, David Boon Kiang Lim, Shuting Chen, Muthusamy Kumarasamy Raja, Kui Yao Active Ultrasonic Structural Health Monitoring Enabled by Piezoelectric Direct-Write Transducers and Edge Computing Process. Search on Bibsonomy Sensors The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yun Wei, Lingnan Zhou A full read-write separation method of heterogeneous database based on HBase. Search on Bibsonomy Int. J. Reason. based Intell. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zongwei Li, Dan Feng 0001, Yuchong Hu, Mengting Lu SPOPB: Reducing solid state drive write traffic for flash-based key-value caching. Search on Bibsonomy Softw. Pract. Exp. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Mao Ni, Lan Chen, Xiaoran Hao, Chenji Liu, Yiheng Zhang, Lei Pan Write-awareness prefetching for non-volatile cache in energy-constrained IoT device. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jialu Yin, Jia Yuan, Zhi Li, Shushan Qiao A two-dimension half-select free 12T SRAM cell with enhanced write ability and read stability for bit-interleaving architecture. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Dashan Shi, Jia Yuan, Jialu Yin, Yulian Wang, Shushan Qiao A bit-interleaving 12T bitcell with built-in write-assist for sub-threshold SRAM. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Lin Qian, Zhihao Qu, Miao Cai, Baoliu Ye, Xiaoliang Wang 0001, Jianyu Wu, Weiguo Duan, Ming Zhao, Qiang Lin FastCache: A write-optimized edge storage system via concurrent merging cache for IoT applications. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xiaomin Zou, Fang Wang 0001, Dan Feng 0001, Junhao Zhu, Renzhi Xiao, Nan Su A write-optimal and concurrent persistent dynamic hashing with radix tree assistance. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Tamanna Haque Nipa, A. B. M. Alim Al Islam Revealing Mental Disorders Through Stylometric Features in Write-Ups. Search on Bibsonomy MobiQuitous The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xiaoliang Wang, Peiquan Jin, Bei Hua, Hai Long, Wei Huang Reducing Write Amplification of LSM-Tree with Block-Grained Compaction. Search on Bibsonomy ICDE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Jongmin Lee, Jun-Yeon Lee, Taeweon Suh, Gunjae Koo CacheRewinder: Revoking Speculative Cache Updates Exploiting Write-Back Buffer. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Zongwu Wang, Zhezhi He, Rui Yang, Shiquan Fan, Jie Lin, Fangxin Liu, Yueyang Jia, Chenxi Yuan, Qidong Tang, Li Jiang 0002 Self-Terminating Write of Multi-Level Cell ReRAM for Efficient Neuromorphic Computing. Search on Bibsonomy DATE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saraswathy Ramanathan, Gaurav Gautam, Vikram Srinivasan, Parimal Parag Latency-Redundancy Tradeoff in Distributed Read-Write Systems. Search on Bibsonomy COMSNETS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Chin-Hsien Wu, Chian-Shiang Ou Yang An Integrated Subpage-aware Write Method in Large-Page-based SSDs. Search on Bibsonomy NVMSA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yifan Qiao, Xubin Chen, Ning Zheng, Jiangpeng Li, Yang Liu 0256, Tong Zhang 0002 Closing the B+-tree vs. LSM-tree Write Amplification Gap on Modern Storage Hardware with Built-in Transparent Compression. Search on Bibsonomy FAST The full citation details ... 2022 DBLP  BibTeX  RDF
12Qiuping Wang, Jinhong Li, Patrick P. C. Lee, Tao Ouyang, Chao Shi, Lilong Huang Separating Data via Block Invalidation Time Inference for Write Amplification Reduction in Log-Structured Storage. Search on Bibsonomy FAST The full citation details ... 2022 DBLP  BibTeX  RDF
12Jonas Krautter, Mahta Mayahinia, Dennis R. E. Gnad, Mehdi B. Tahoori Data Leakage through Self-Terminated Write Schemes in Memristive Caches. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Rui Xu 0013, Edwin Hsing-Mean Sha, Qingfeng Zhuge, Yuhong Song, Jingzhi Lin Optimal Loop Tiling for Minimizing Write Operations on NVMs with Complete Memory Latency Hiding. Search on Bibsonomy ASP-DAC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Guodong Peng, Jun Li 0062, Mingwang Zhao, Minjun Li, Zhibing Sha, Min Huang, Zhigang Cai Delaying Large Write Requests to Trade off I/O Performance and Long-tail Latency in SSDs. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Edwin H.-M. Sha, Yeteng Liao, Qingfeng Zhuge, Rui Xu 0013, Yuhong Song, Jialin Liu Pseudo-Log: Restore Global Data Facing Power Failures with Minimum NVM Write. Search on Bibsonomy HPCC/DSS/SmartCity/DependSys The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Xinying Hou, Barbara Jane Ericson, Xu Wang 0016 Using Adaptive Parsons Problems to Scaffold Write-Code Problems. Search on Bibsonomy ICER (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sajani Vithana, Sennur Ulukus Private Read Update Write (PRUW) with Storage Constrained Databases. Search on Bibsonomy ISIT The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Seth Poulsen, Mahesh Viswanathan 0001, Geoffrey L. Herman, Matthew West 0001 Proof Blocks: Autogradable Scaffolding Activities for Learning to Write Proofs. Search on Bibsonomy ITiCSE (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Feixiang Ren, Sujuan Qin Fabric Smart Contract Read-After-Write Risk Detection Method Based on Key Methods and Call Chains. Search on Bibsonomy SmartCom The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Qing Wang 0031, Youyou Lu, Jiwu Shu Sherman: A Write-Optimized Distributed B+Tree Index on Disaggregated Memory. Search on Bibsonomy SIGMOD Conference The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Sajani Vithana, Sennur Ulukus Rate Distortion Tradeoff in Private Read Update Write in Federated Submodel Learning. Search on Bibsonomy IEEECONF The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Semira Galijasevic, Richard D. Wesel Optimizing Write Voltages for Independent, Equal-Rate Pages in Flash Memory. Search on Bibsonomy IEEECONF The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Chenyi Wang, Min Wang, Zhaohao Wang, Weisheng Zhao Two-bit multi-level spin orbit torque MRAM with the fully one-step write operation. Search on Bibsonomy ICTA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Yi Huang, Hengfeng Wei Incremental Causal Consistency Checking for Read-Write Memory Histories. Search on Bibsonomy Internetware The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Lennart E. Nacke How to Write Better Research Papers (for CHI). Search on Bibsonomy CHI Extended Abstracts The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Takahiro Shimoi, Ken Matsubara, Tomoya Saito, Tomoya Ogawa, Yasuhiko Taito, Yoshinobu Kaneda, Masayuki Izuna, Koichi Takeda, Hidenori Mitani, Takashi Ito, Takashi Kono A 22nm 32Mb Embedded STT-MRAM Macro Achieving 5.9ns Random Read Access and 5.8MB/s Write Throughput at up to Tj of 150 °C. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Inhak Lee, Dongwook Seo, Yunrong Li, Mijoung Kim, Sangyeop Baeck 4nm Voltage Auto-Tracking SRAM Pulse Generator with Fully RC Optimized Row Auto-Tracking Write Assist Circuits. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Syed M. Alam, Dimitri Houssameddine, F. Neumeyer, I. Rahman, M. DeHerrera, S. Ikegawa, P. Sanchez, X. Zhang, Y. Wang, J. Williams, Dietmar Gogl, H. Xu, M. Farook, D. Aceves, H. K. Lee, Fred B. Mancoff, M. Chou, CH. Tan, B. Huang, S. Mukherjee, M. Lu, A. Shah, K. Nagel, Y. Kim, S. Aggarwal Persistent xSPI STT-MRAM with up to 400MB/s Read and Write Throughput. Search on Bibsonomy IMW The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Saurabh V. Suryavanshi, Greg Yeric, Max Irby, X. M. Henry Huang, Glen Rosendale, Lucian Shifren Extreme Temperature (> 200 °C), Radiation Hard (> 1 Mrad), Dense (sub-50 nm CD), Fast (2 ns write pulses), Non-Volatile Memory Technology. Search on Bibsonomy IMW The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Shinsei Yoshikiyo, Naoko Misawa, Kasidit Toprasertpong, Shinichi Takagi, Chihiro Matsui, Ken Takeuchi Edge Retraining of FeFET LM-GA CiM for Write Variation & Reliability Error Compensation. Search on Bibsonomy IMW The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
12Amit Kumar Tyagi, Rohit Bansal, Anshu, Sathian Dananjayan A Step-To-Step Guide to Write a Quality Research Article. Search on Bibsonomy ISDA (4) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #801 - #900 of 6048 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license