The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ASP with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1967-1994 (16) 1995 (81) 1997 (101) 1998 (105) 1999 (98) 2000 (148) 2001 (189) 2002 (164) 2003 (238) 2004 (268) 2005 (393) 2006 (227) 2007 (235) 2008 (215) 2009 (235) 2010 (205) 2011 (197) 2012 (195) 2013 (191) 2014 (176) 2015 (202) 2016 (174) 2017 (186) 2018 (185) 2019 (182) 2020 (171) 2021 (197) 2022 (175) 2023 (183) 2024 (12)
Publication types (Num. hits)
article(378) book(20) data(1) incollection(8) inproceedings(4902) phdthesis(7) proceedings(28)
Venues (Conferences, Journals, ...)
ASP-DAC(3979) ASP-DAC/VLSI Design(129) CoRR(110) LPNMR(101) Answer Set Programming(85) Theory Pract. Log. Program.(53) ICLP(41) CILC(23) HICSS(22) Interfaces(21) JELIA(18) PADL(17) IJCAI(16) ICLP (Technical Communications...(13) KR(13) AAAI(10) More (+10 of total 478)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 860 occurrences of 653 keywords

Results
Found 5360 publication records. Showing 5344 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
25Meng-Fan Chang, Albert Lee, Chien-Chen Lin, Mon-Shu Ho, Ping-Cheng Chen, Chia-Chen Kuo, Ming-Pin Chen, Pei-Ling Tseng, Tzu-Kun Ku, Chien-Fu Chen, Kai-Shin Li, Jia-Min Shieh Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Haifeng Xu, Yong Li 0009, Rami G. Melhem, Alex K. Jones Multilane Racetrack caches: Improving efficiency through compression and independent shifting. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Rickard Ewetz, Shankarshana Janarthanan, Cheng-Kok Koh Fast clock skew scheduling based on sparse-graph algorithms. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zelong Sun, Li Jiang 0002, Qiang Xu 0001, Zhaobo Zhang, Zhiyuan Wang, Xinli Gu On test syndrome merging for reasoning-based board-level functional fault diagnosis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shupeng Sun, Xin Li 0001 Fast statistical analysis of rare failure events for memory circuits in high-dimensional variation space. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Li-Chun Chen, Hsin-I Wu, Ren-Song Tsay Automatic timing-coherent transactor generation for mixed-level simulations. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Udo Wolz The required technologies for Automotive towards 2020. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Julian J. H. Pontes, Pascal Vivet, Yvain Thonnart Two-phase protocol converters for 3D asynchronous 1-of-n data links. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yanbin Li, Xin Li 0002, Lei Ju 0001, Zhiping Jia A three-stage-write scheme with flip-bit for PCM main memory. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Eric Jia-Wei Fang, Terry Chi-Jih Shih, Darton Shen-Yu Huang IR to routing challenge and solution for interposer-based design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Masanori Hashimoto, Dawood Alnajiar, Hiroaki Konoura, Yukio Mitsuyama, Hajime Shimada, Kazutoshi Kobayashi, Hiroyuki Kanbara, Hiroyuki Ochi, Takashi Imagawa, Kazutoshi Wakabayashi, Takao Onoye, Hidetoshi Onodera Reliability-configurable mixed-grained reconfigurable array compatible with high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Josef Schneider, Jorgen Peddersen, Sri Parameswaran Speeding up single pass simulation of PLRUt caches. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Tiago Reimann, Cliff C. N. Sze, Ricardo Reis 0001 Gate sizing and threshold voltage assignment for high performance microprocessor designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xiaowei Ren, Qihang Yu, Badong Chen, Nanning Zheng 0001, Pengju Ren A real-time permutation entropy computation for EEG signals. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Lixue Xia, Rong Luo, Bin Zhao, Yu Wang 0002, Huazhong Yang An accurate and low-cost PM2.5 estimation method based on Artificial Neural Network. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Juyeon Kim, Taewhan Kim Useful clock skew scheduling using adjustable delay buffers in multi-power mode designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Adam Teman, Davide Rossi, Pascal Andreas Meinerzhagen, Luca Benini, Andreas Peter Burg Controlled placement of standard cell memory arrays for high density and low power in 28nm FD-SOI. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jinho Lee, Junwhan Ahn, Kiyoung Choi, Kyungsu Kang THOR: Orchestrated thermal management of cores and networks in 3D many-core architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mengying Zhao, Yuan Xue, Chengmo Yang, Chun Jason Xue Minimizing MLC PCM write energy for free through profiling-based state remapping. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Songwei Pei, Ye Geng, Huawei Li 0001, Jun Liu, Song Jin Enhanced LCCG: A novel test clock generation scheme for faster-than-at-speed delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xiaohang Wang 0001, Tengfei Wang, Terrence S. T. Mak, Mei Yang, Yingtao Jiang, Masoud Daneshtalab Fine-grained runtime power budgeting for networks-on-chip. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Sergej Deutsch, Krishnendu Chakrabarty Software-based test and diagnosis of SoCs using embedded and wide-I/O DRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Masashi Tawada, Shinji Kimura, Masao Yanagisawa, Nozomu Togawa A bit-write reduction method based on error-correcting codes for non-volatile memories. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hyun-Sek Lukas Lee, Matthias Althoff, Stefan Hoelldampf, Markus Olbrich, Erich Barke Automated generation of hybrid system models for reachability analysis of nonlinear analog circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ting-Shuo Hsu, Jun-Lin Chiu, Chao-Kai Yu, Jing-Jia Liou A fast and accurate network-on-chip timing simulator with a flit propagation model. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Min Huang 0002, Yi Wang 0003, Zhaoqing Liu, Liyan Qiao, Zili Shao A Garbage Collection Aware Stripping method for Solid-State Drives. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jianlei Yang 0001, Liwei Ma, Kang Zhao, Yici Cai, Tin-Fook Ngai Early stage real-time SoC power estimation using RTL instrumentation. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yang Zheng, Cong Xu, Yuan Xie 0001 Modeling framework for cross-point resistive memory design emphasizing reliability and variability issues. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zhehui Wang, Jiang Xu 0001, Peng Yang 0003, Xuan Wang 0001, Zhe Wang 0003, Luan H. K. Duong, Zhifei Wang, Haoran Li 0002, Rafael Kioji Vivas Maeda, Xiaowen Wu, Yaoyao Ye, Qinfen Hao Alleviate chip I/O pin constraints for multicore processors through optical interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mohammad Shihabul Haque, Ang Li 0006, Akash Kumar 0001, Qingsong Wei Accelerating non-volatile/hybrid processor cache design space exploration for application specific embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Mohammed Shemsu Nesro, Lizhong Sun, Ibrahim M. Elfadel Compact modeling of microbatteries using behavioral linearization and model-order reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Peng Gu, Boxun Li, Tianqi Tang 0001, Shimeng Yu, Yu Cao 0001, Yu Wang 0002, Huazhong Yang Technological exploration of RRAM crossbar array for matrix-vector multiplication. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Sung Hyun Jo, Tanmay Kumar, Mehdi Asnaashari, Wei D. Lu, Hagop Nazarian 3D ReRAM with Field Assisted Super-Linear Threshold (FASTTM) Selector technology for super-dense, low power, low latency data storage systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jiwoo Pak, Bei Yu 0001, David Z. Pan Electromigration-aware redundant via insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jiang Yu, Geng Liu, Xin Zhang, Pengju Ren A high efficient hardware architecture for multiview 3DTV. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Daisuke Fujimoto, Makoto Nagata, Shivam Bhasin, Jean-Luc Danger A novel methodology for testing hardware security and trust exploiting On-Chip Power noise Measurement. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yuankai Chen, Hai Zhou 0001 Synthesis of resilient circuits from guarded atomic actions. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ran Zhang 0005, Tieyuan Pan, Li Zhu, Takahiro Watanabe A length matching routing method for disordered pins in PCB design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Luca Gaetano Amarù, Gage Hills, Pierre-Emmanuel Gaillardon, Subhasish Mitra, Giovanni De Micheli Multiple Independent Gate FETs: How many gates do we need? Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Anastasiia Butko, Rafael Garibotti, Luciano Ost, Vianney Lapotre, Abdoulaye Gamatié, Gilles Sassatelli, Chris Adeniyi-Jones A trace-driven approach for fast and accurate simulation of manycore architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Anteneh Gebregiorgis, Mojtaba Ebrahimi, Saman Kiamehr, Fabian Oboril, Said Hamdioui, Mehdi Baradaran Tahoori Aging mitigation in memory arrays using self-controlled bit-flipping technique. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xi Zhang 0003, Haris Javaid, Muhammad Shafique 0001, Jude Angelo Ambrose, Jörg Henkel, Sri Parameswaran ADAPT: An adaptive manycore methodology for software pipelined applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Cheng Tan 0002, Thannirmalai Somu Muthukaruppan, Tulika Mitra, Lei Ju 0001 Approximation-aware scheduling on heterogeneous multi-core architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yasuhiro Takashima Analytical placement for rectilinear blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Chih-Tsun Huang, Kuan-Chun Tasi, Jun-Shen Lin, Hsiao-Wei Chien Application-level embedded communication tracer for many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Daisuke Kanemoto, Keigo Oshiro, Keiji Yoshida, Haruichi Kanaya A tri-level 50MS/s 10-bit capacitive-DAC for Bluetooth applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Nicole Lesperance, Shrikant Kulkarni, Kwang-Ting Cheng Hardware Trojan detection using exhaustive testing of k-bit subspaces. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Carson Dunbar, Gang Qu 0001 Satisfiability Don't Care condition based circuit fingerprinting techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shoichi Iizuka, Yuma Higuchi, Masanori Hashimoto, Takao Onoye Area efficient device-parameter estimation using sensitivity-configurable ring oscillator. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Martin Becker 0001, Alejandro Masrur, Samarjit Chakraborty Composing real-time applications from communicating black-box components. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shuangchen Li, Ang Li, Yongpan Liu, Yuan Xie 0001, Huazhong Yang Nonvolatile memory allocation and hierarchy optimization for high-level synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jili Zhang, Chenluan Wang, Shengxi Diao, Fujiang Lin A Low-Power VCO based ADC with asynchronous sigma-delta modulator in 65nm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Takehiko Amaki, Masanori Hashimoto, Takao Onoye An oscillator-based true random number generator with process and temperature tolerance. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yohei Umeki, Koji Yanagida, Shusuke Yoshimoto, Shintaro Izumi, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001, Koji Tsunoda, Toshihiro Sugii A negative-resistance sense amplifier for low-voltage operating STT-MRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hongwei Wang, Ziyuan Zhu, Jinglin Shi, Yongtao Su An accurate ACOSSO metamodeling technique for processor architecture design space exploration. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Li-C. Wang Data mining in functional test content optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ching-Yi Huang, Chian-Wei Liu, Chun-Yao Wang, Yung-Chih Chen, Suman Datta, Vijaykrishnan Narayanan A defect-aware approach for mapping reconfigurable Single-Electron Transistor arrays. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Khalid Hussein, Akira Fujita, Katsumi Sato Trend in power devices for electric and hybrid electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Linbo Long, Duo Liu, Xiao Zhu, Kan Zhong, Zili Shao, Edwin Hsing-Mean Sha Balloonfish: Utilizing morphable resistive memory in mobile virtualization. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Daisuke Fujimoto, Noriyuki Miura, Yu-ichi Hayashi, Naofumi Homma, Takafumi Aoki, Makoto Nagata A DPA/DEMA/LEMA-resistant AES cryptographic processor with supply-current equalizer and micro EM probe sensor. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Renhai Chen, Yi Wang 0003, Jingtong Hu, Duo Liu, Zili Shao, Yong Guan Unified non-volatile memory and NAND flash memory architecture in smartphones. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Sho Ikeda, Sang-yeop Lee, Shin Yonezawa, Yiming Fang, Motohiro Takayasu, Taisuke Hamada, Yosuke Ishikawa, Hiroyuki Ito, Noboru Ishihara, Kazuya Masu A 0.5-V 5.8-GHz low-power asymmetrical QPSK/OOK transceiver for wireless sensor network. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Chung-Hao Tsai, Wai-Kei Mak A fast parallel approach for common path pessimism removal. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hang Lu, Guihai Yan, Yinhe Han 0001, Ying Wang 0001, Xiaowei Li 0001 ShuttleNoC: Boosting on-chip communication efficiency by enabling localized power adaptation. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shih-Ying Liu, Tung-Chieh Chen, Hung-Ming Chen An approach to anchoring and placing high performance custom digital designs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yusuke Sakumoto, Ittetsu Taniguchi An autonomous decentralized mechanism for energy interchanges with accelerated diffusion based on MCMC. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shao-Yun Fang Cut mask optimization with wire planning in self-aligned multiple patterning full-chip routing. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hyung Gyu Lee, Naehyuck Chang Powering the IoT: Storage-less and converter-less energy harvesting. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Keiya Motohashi The prospects of next generation television - Japan's initiative to 2020. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zaid Al-bayati, Qingling Zhao, Ahmed Youssef, Haibo Zeng 0001, Zonghua Gu 0001 Enhanced partitioned scheduling of Mixed-Criticality Systems on multicore platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Che-Wei Chang, Rainer Dömer Communication protocol analysis of transaction-level models using Satisfiability Modulo Theories. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Nobuaki Kobayashi, Ryusuke Ito, Tadayoshi Enomoto A high stability, low supply voltage and low standby power six-transistor CMOS SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zahi Moudallal, Farid N. Najm Generating circuit current constraints to guarantee power grid safety. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Po-Ya Hsu, Yao-Wen Chang Non-stitch triple patterning-aware routing based on conflict graph pre-coloring. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xiwei Huang, Jing Guo, Mei Yan, Hao Yu 0001 A 64×64 1200fps dual-mode CMOS ion-image sensor for accurate DNA sequencing. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Takanori Machida, Dai Yamamoto, Mitsugu Iwamoto, Kazuo Sakiyama Implementation of double arbiter PUF and its performance evaluation on FPGA. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera Microarchitectural-level statistical timing models for near-threshold circuit design. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Toshihiro Ozaki, Tetsuya Hirose, Takahiro Nagai, Keishi Tsubaki, Nobutaka Kuroki, Masahiro Numa A 0.21-V minimum input, 73.6% maximum efficiency, fully integrated 3-terminal voltage converter with MPPT for low-voltage energy harvesters. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Seyong Ahn, Minseok Kang, Marios C. Papaefthymiou, Taewhan Kim Synthesis of resonant clock networks supporting dynamic voltage / frequency scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Leibo Liu, Yu Ren, Chenchen Deng, Shouyi Yin, Shaojun Wei, Jie Han 0001 A novel approach using a minimum cost maximum flow algorithm for fault-tolerant topology reconfiguration in NoC architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Dongsheng Yang 0002, Wei Deng 0001, Tomohiro Ueno, Teerachot Siriburanon, Satoshi Kondo, Kenichi Okada, Akira Matsuzawa An HDL-synthesized gated-edge-injection PLL with a current output DAC. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Fangming Ye, Krishnendu Chakrabarty, Zhaobo Zhang, Xinli Gu Self-learning and adaptive board-level functional fault diagnosis. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hoda Aghaei Khouzani, Chengmo Yang, Jingtong Hu Improving performance and lifetime of DRAM-PCM hybrid main memory through a proactive page allocation strategy. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Chang Liu, Xinghua Yang, Fei Qiao, Qi Wei 0001, Huazhong Yang Design methodology for approximate accumulator based on statistical error model. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Ying-Chih Wang, Shihui Yin, Minhee Jun, Xin Li 0001, Lawrence T. Pileggi, Tamal Mukherjee, Rohit Negi Accurate passivity-enforced macromodeling for RF circuits via iterative zero/pole update based on measurement data. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hidekazu Nishimura Systems modeling for additional development in automotive E/E architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zhi Hu, Yibo Fan, Xiaoyang Zeng Iterative disparity voting based stereo matching algorithm and its hardware implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Shao-Yun Fang, Yi-Shu Tai, Yao-Wen Chang Layout decomposition for Spacer-is-Metal (SIM) self-aligned double patterning. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Aravind Tharayil Narayanan, Wei Deng 0001, Kenichi Okada, Akira Matsuzawa A tail-current modulated VCO with adaptive-bias scheme. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Qiaosha Zou, Matthew Poremba, Rui He, Wei Yang, Junfeng Zhao 0003, Yuan Xie 0001 Heterogeneous architecture design with emerging 3D and non-volatile memory technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Daisuke Murakami, Yuki Soga, Daisuke Imoto, Yoshiharu Watanabe, Takashi Yamada The world's 1st Complete-4K SoC solution with hybrid memory system. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Xuan-Thuan Nguyen, Cong-Kha Pham An efficient multi-port memory controller for multimedia applications. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Luis Gabriel Murillo, Robert Lajos Bücs, Daniel Hincapie, Rainer Leupers, Gerd Ascheid SWAT: Assertion-based debugging of concurrency issues at system level. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Zhijian Pan, Chuan Qin 0008, Zuochang Ye, Yan Wang 0023 Automatic design for analog/RF front-end system in 802.11ac receiver. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Laura A. Rozo Duque, Chengmo Yang Guiding fault-driven adaption in multicore systems through a reliability-aware static task schedule. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Hui Li 0034, Sébastien Le Beux, Gabriela Nicolescu, Ian O'Connor Energy-efficient optical crossbars on chip with multi-layer deposited silicon. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Palkesh Jain, Sachin S. Sapatnekar, Jordi Cortadella A retargetable and accurate methodology for logic-IP-internal electromigration assessment. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Chao Zhang 0007, Guangyu Sun 0003, Weiqi Zhang, Fan Mi, Hai Li 0001, Weisheng Zhao Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yu Peng, Shouyi Yin, Leibo Liu, Shaojun Wei Battery-aware mapping optimization of loop nests for CGRAs. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
25Yan Zhu, Sheldon X.-D. Tan GPU-accelerated parallel Monte Carlo analysis of analog circuits by hierarchical graph-based solver. Search on Bibsonomy ASP-DAC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 5344 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license