The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ASP-DAC"( http://dblp.L3S.de/Venues/ASP-DAC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/aspdac

Publication years (Num. hits)
1995 (80) 1997 (98) 1998 (103) 1999 (92) 2000 (135) 2001 (129) 2003 (163) 2004 (195) 2005 (302) 2006 (180) 2007 (175) 2008 (158) 2009 (161) 2010 (167) 2011 (160) 2012 (147) 2013 (150) 2014 (152) 2015 (159) 2016 (135) 2017 (153) 2018 (139) 2019 (130) 2020 (115) 2021 (152) 2022 (122) 2023 (127)
Publication types (Num. hits)
inproceedings(3955) proceedings(24)
Venues (Conferences, Journals, ...)
ASP-DAC(3979)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 343 occurrences of 283 keywords

Results
Found 3979 publication records. Showing 3979 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Kassan Unda, Chung-Han Chou, Shih-Chieh Chang, Cheng Zhuo, Yiyu Shi 0001 CN-SIM: A cycle-accurate full system power delivery noise simulator. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Chao-Hung Wang, Yen-Yi Wu, Jianli Chen, Yao-Wen Chang, Sy-Yen Kuo, Wenxing Zhu, Genghua Fan An effective legalization algorithm for mixed-cell-height standard cells. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Muhammad Yasin, Bodhisatwa Mazumdar, Ozgur Sinanoglu, Jeyavijayan Rajendran Security analysis of Anti-SAT. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Youngchan Kim, Taewhan Kim Algorithm for synthesis and exploration of clock spines. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qiao Li 0001, Liang Shi, Chun Jason Xue, Qingfeng Zhuge, Edwin Hsing-Mean Sha Improving LDPC performance via asymmetric sensing level placement on flash memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Joshua Marxen, Alex Orailoglu Ensuring system security through proximity based authentication. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ye Tian 0010, Qiang Xu 0001, Jason Xue On efficient message passing in energy harvesting based distributed system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Adam Kostrzewa, Sebastian Tobuschat, Leonardo Ecco, Rolf Ernst Adaptive load distribution in mixed-critical Networks-on-Chip. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1John Rogers ASP-DAC 2017 keynote speech I-2: Electronics for the human body. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Nhut-Minh Ho, Elavarasi Manogaran, Weng-Fai Wong, Asha Anoosheh Efficient floating point precision tuning for approximate computing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Kei Ikeda, Atsuki Kobayashi, Kazuo Nakazato, Kiichi Niitsu A scalable time-domain biosensor array using logarithmic cyclic time-attenuation-based TDC for high-resolution and large-scale bio-imaging. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sina Asadi, Amir Mahdi Hosseini Monazzah, Hamed Farbeh, Seyed Ghassem Miremadi WIPE: Wearout Informed Pattern Elimination to Improve the Endurance of NVM-based Caches. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Simon J. Bale, James Alfred Walker, Martin A. Trefzer, Andy M. Tyrrell Variability mapping at runtime using the PAnDA multi-reconfigurable architecture. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Zhe Wang 0003, Zhongyuan Tian, Jiang Xu 0001, Rafael K. V. Maeda, Haoran Li 0002, Peng Yang 0003, Zhehui Wang, Luan H. K. Duong, Zhifei Wang, Xuanqi Chen Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Xin He, Guihai Yan, Faqiang Sun, Yinhe Han 0001, Xiaowei Li 0001 ApproxEye: Enabling approximate computation reuse for microrobotic computer vision. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Arunkumar Vijayan, Saman Kiamehr, Fabian Oboril, Krishnendu Chakrabarty, Mehdi Baradaran Tahoori Workload-aware static aging monitoring of timing-critical flip-flops. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Asit K. Mishra, Eriko Nurvitadhi, Ganesh Venkatesh, Jonathan Pearce, Debbie Marr Fine-grained accelerators for sparse machine learning workloads. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Andreas Grimmer, Qin Wang 0005, Hailong Yao, Tsung-Yi Ho, Robert Wille Close-to-optimal placement and routing for continuous-flow microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Amir Charif, Alexandre Coelho, Nacer-Eddine Zergainoh, Michael Nicolaidis Detailed and highly parallelizable cycle-accurate network-on-chip simulation on GPGPU. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Keita Yogosawa, Hideki Shinohara, Kousuke Miyaji A 13.56MHz CMOS active diode full-wave rectifier achieving ZVS with voltage-time-conversion delay-locked loop for wireless power transmission. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yu-Min Lee, Chi-Han Lee, Yan-Cheng Zhu Yield-driven redundant power bump assignment for power network robustness. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Hye-Yeon Yoon, Gwang-Ho Lee, Tae-Hwan Kim A 686Mbps 1.85mm2 near-optimal symbol detector for spatial modulation MIMO systems in 0.18μm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Alessandra Melani, Maria A. Serrano, Marko Bertogna, Isabella Cerutti, Eduardo Quiñones, Giorgio C. Buttazzo A static scheduling approach to enable safety-critical OpenMP applications. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Wenqin Huangfu, Lixue Xia, Ming Cheng, Xiling Yin, Tianqi Tang 0001, Boxun Li, Krishnendu Chakrabarty, Yuan Xie 0001, Yu Wang 0002, Huazhong Yang Computation-oriented fault-tolerance schemes for RRAM computing systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Li-Wei Shieh, Kun-Chih Chen, Hsueh-Chun Fu, Po-Han Wang 0001, Chia-Lin Yang Enabling fast preemption via Dual-Kernel support on GPUs. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Gregg J. Suaning Strategic circuits for neuromodulation of the visual system. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Bruno de O. Schmitt, Alan Mishchenko, Victor N. Kravets, Robert K. Brayton, André Inácio Reis Fast-extract with cube hashing. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shanshan Liu 0001, Liyi Xiao, Xuebing Cao, Zhigang Mao Reliability analysis of memories suffering MBUs for the effect of negative bias temperature instability. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Qian Zhang 0020, Ting Wang 0008, Qiang Xu 0001 On resilient task allocation and scheduling with uncertain quality checkers. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Sudarshan Sargur, Roman Lysecky Non-intrusive dynamic profiler for multicore embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Shunti Yin, Deepak Kadetotad, Bonan Yan, Chang Song 0001, Yiran Chen 0001, Chaitali Chakrabarti, Jae-sun Seo Low-power neuromorphic speech recognition engine with coarse-grain sparsity. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Debjyoti Bhattacharjee, Arvind Easwaran, Anupam Chattopadhyay Area-constrained technology mapping for in-memory computing using ReRAM devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Omayma Matoussi, Frédéric Pétrot Loop aware IR-level annotation framework for performance estimation in native simulation. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Naveen Katam, Alireza Shafaei, Massoud Pedram Design of multiple fanout clock distribution network for rapid single flux quantum technology. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Po-Kai Chuang, Ya-Shu Chen, Po-Hao Huang An adaptive on-line CPU-GPU governor for games on mobile devices. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Masaharu Imai, Yoshinori Takeuchi, Jun Ohta, Gregg Jørgen Suaning, Chung-Yu Wu, Napoleon Torres-Martinez Emerging technologies for biomedical applications: Artificial vision systems and brain machine interface. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Yan Li, Chen Yang, Wei Zhong, Zhiwei Li, Song Chen 0001 High throughput hardware architecture for accurate semi-global matching. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Mohsen Imani, Yeseong Kim, Tajana Rosing MPIM: Multi-purpose in-memory processing using configurable resistive memory. Search on Bibsonomy ASP-DAC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
1Ying-Yu Chen, Morteza Gholipour, Deming Chen Flexible transition metal dichalcogenide field-effect transistors: A circuit-level simulation study of delay and power under bending, process variation, and scaling. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Islam A. K. M. Mahfuzul, Hidetoshi Onodera On-chip monitoring and compensation scheme with fine-grain body biasing for robust and energy-efficient operations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wandi Liu, Hai Wang 0002, Hengyang Zhao, Shujuan Wang, Hai-Bao Chen, Yuzhuo Fu, Jian Ma 0002, Xin Li 0001, Sheldon X.-D. Tan Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chak-Fong Cheang, Ka-Fai Un, Pui-In Mak, Rui Paulo Martins Time-domain I/Q-LOFT compensator using a simple envelope detector for a sub-GHz IEEE 802.11af WLAN transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mingyu Wang, Zhaolin Li STLAC: A spatial and temporal locality-aware cache and network-on-chip codesign for tiled many-core systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chio-In Ieong, Pui-In Mak, Mang I Vai, Rui Paulo Martins Sub-µW QRS detection processor using quadratic spline wavelet transform and maxima modulus pair recognition for power-efficient wireless arrhythmia monitoring. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lu Wang 0019, Sheng Ma, Zhiying Wang 0003 A high performance reliable NoC router. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Liangzhen Lai, Puneet Gupta 0001 Hardware Reliability margining for the dark silicon era. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Fedor G. Pikus, Andres J. Torres Advanced multi-patterning and hybrid lithography techniques. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Abhronil Sengupta, Karthik Yogendra, Deliang Fan, Kaushik Roy 0001 Prospects of efficient neural computing with arrays of magneto-metallic neurons and synapses. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tiansong Cui, Shuang Chen 0001, Yanzhi Wang, Qi Zhu 0002, Shahin Nazarian, Massoud Pedram Optimal co-scheduling of HVAC control and battery management for energy-efficient buildings considering state-of-health degradation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tao Luo 0014, Wei Zhang 0012, Bingsheng He, Douglas L. Maskell A racetrack memory based in-memory booth multiplier for cryptography application. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kiichi Niitsu, Atsuki Kobayashi, Yudai Ogawa, Matsuhiko Nishizawa, Kazuo Nakazato Design of an energy-autonomous, disposable, supply-sensing biosensor using bio fuel cell and 0.23-V 0.25-µm zero-Vth all-digital CMOS supply-controlled ring oscillator with inductive transmitter. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jingyang Zhu, Zhiliang Qian, Chi-Ying Tsui LRADNN: High-throughput and energy-efficient Deep Neural Network accelerator using Low Rank Approximation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuan Xue, Patrick Cronin, Chengmo Yang, Jingtong Hu Routing path reuse maximization for efficient NV-FPGA reconfiguration. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Inhak Han, Daijoon Hyun, Youngsoo Shin Buffer insertion to remove hold violations at multiple process corners. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jason Cong, Hui Huang 0001, Mohammad Ali Ghodrat A scalable communication-aware compilation flow for programmable accelerators. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qing Lu, Chiu-Wing Sham, Francis C. M. Lau 0002 Rapid prototyping of multi-mode QC-LDPC decoder for 802.11n/ac standard. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hoda Pahlevanzadeh, Jaya Dofe, Qiaoyan Yu Assessing CPA resistance of AES with different fault tolerance mechanisms. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Rickard Ewetz, Cheng-Kok Koh MCMM clock tree optimization based on slack redistribution using a reduced slack graph. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Lei Jiang 0001, Wujie Wen, Danghui Wang, Lide Duan Improving read performance of STT-MRAM based main memories through Smash Read and Flexible Read. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tao Liu, Hui Guo 0001, Sri Parameswaran, Xiaobo Sharon Hu Improving tag generation for memory data authentication in embedded processor systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Tatsuya Kamakari, Jun Shiomi, Tohru Ishihara, Hidetoshi Onodera A closed-form stability model for cross-coupled inverters operating in sub-threshold voltage region. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Zigang Xiao, Chun-Xun Lin, Martin D. F. Wong, Hongbo Zhang 0001 Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chenjie Yang, Fan Yang 0001, Xuan Zeng 0001, Dian Zhou An efficient trajectory-based algorithm for model order reduction of nonlinear systems via localized projection and global interpolation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seong-I Lei, Wai-Kei Mak, Chris Chu Minimum implant area-aware placement and threshold voltage refinement. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Paolo Mantovani, Giuseppe Di Guglielmo, Luca P. Carloni High-level synthesis of accelerators in embedded scalable platforms. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yuan Gao 0002, Lisong Li, Philip K. T. Mok An AC powered converter-free LED driver with low flicker. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Sebastian Steinhorst, Zili Shao, Samarjit Chakraborty, Matthias Kauer, Shuai Li 0002, Martin Lukasiewycz, Swaminathan Narayanaswamy, Muhammad Usman Rafique, Qixin Wang Distributed reconfigurable Battery System Management Architectures. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Chen Liu 0013, Patrick Cronin, Chengmo Yang A mutual auditing framework to protect IoT against hardware Trojans. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shuo Wang 0009, Yun Liang 0001, Chao Zhang 0007, Xiaolong Xie, Guangyu Sun 0003, Yongpan Liu, Yu Wang 0002, Xiuhong Li Performance-centric register file design for GPUs using racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qin Wang 0005, Yizhong Ru, Hailong Yao, Tsung-Yi Ho, Yici Cai Sequence-pair-based placement and routing for flow-based microfluidic biochips. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Kyounghoon Kim, Jongeun Lee, Kiyoung Choi An energy-efficient random number generator for stochastic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Xiong Pan, Wei Jiang 0016, Ke Jiang, Liang Wen, Qi Dong Energy optimization of stochastic applications with statistical guarantees of deadline and reliability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu-Guang Chen, Wan-Yu Wen, Yun-Ting Wang, You-Luen Lee, Shih-Chieh Chang A novel low-cost dynamic logic reconfigurable structure strategy for low power optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Junlong Zhou, Xiaobo Sharon Hu, Yue Ma 0001, Tongquan Wei Balancing lifetime and soft-error reliability to improve system availability. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Seongbo Shim, Youngsoo Shin Mask optimization for directed self-assembly lithography: Inverse DSA and inverse lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Leibin Ni, Yuhao Wang 0002, Hao Yu 0001, Wei Yang, Chuliang Weng, Junfeng Zhao 0003 An energy-efficient matrix multiplication accelerator by distributed in-memory computing on binary RRAM crossbar. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Juan Yi, Qian Zhang 0020, Ye Tian 0010, Ting Wang 0008, Weichen Liu, Edwin Hsing-Mean Sha, Qiang Xu 0001 ApproxMap: On task allocation and scheduling for resilient applications. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dimitar Nikolov, Erik Larsson Maximizing level of confidence for non-equidistant Checkpointing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yung-Chih Chen, Runyi Wang, Yan-Ping Chang Fast synthesis of threshold logic networks with optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ke Jiang, Petru Eles, Zebo Peng, Sudipta Chattopadhyay 0001, Lejla Batina SPARTA: A scheduling policy for thwarting differential power analysis attacks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Dustin Peterson, Oliver Bringmann 0001 SMoSi: A framework for the derivation of sleep mode traces from RTL simulations. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Siarhei S. Zalivaka, Alexander V. Puchkov, Vladimir P. Klybik, Alexander A. Ivaniuk, Chip-Hong Chang Multi-valued Arbiters for quality enhancement of PUF responses on FPGA implementation. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Travis Meade, Shaojie Zhang, Yier Jin Netlist reverse engineering for high-level functionality reconstruction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Roberto Vargas, Sara Royuela, Maria A. Serrano, Xavier Martorell, Eduardo Quiñones A lightweight OpenMP4 run-time for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Hongbin Zhang, Chao Zhang 0007, Xian Zhang 0001, Guangyu Sun 0003, Jiwu Shu Pin Tumbler Lock: A shift based encryption mechanism for racetrack memory. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shang-Yi Li, Pei-Yuan Chou, Jinn-Shyan Wang Design of an all-digital temperature sensor in 28 nm CMOS using temperature-sensitive delay cells and adaptive-1P calibration for error reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Bingjun Xiao, Jinjun Xiong, Yiyu Shi 0001 Novel applications of deep learning hidden features for adaptive testing. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Mohammad Motamedi, Philipp Gysel, Venkatesh Akella, Soheil Ghiasi Design space exploration of FPGA-based Deep Convolutional Neural Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Shih-Chun Chou, Yuan-Hao Chang 0001, Yuan-Hung Kuan, Po-Chun Huang, Che-Wei Tsao Multi-version checkpointing for flash file systems. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Nitin Rathi, Swaroop Ghosh, Anirudh Iyengar, Helia Naeimi Data privacy in non-volatile cache: Challenges, attack models and solutions. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Qiang Zhu 0005, Masato Tatsuoka High quality IP design using high-level synthesis design flow. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Yu-Min Chou, Yung-Chih Chen, Chun-Yao Wang, Ching-Yi Huang MajorSat: A SAT solver to majority logic. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1M. Hassan Najafi, David J. Lilja, Marc D. Riedel, Kia Bazargan Polysynchronous stochastic circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Li-Chung Hsu, Junichiro Kadomoto, So Hasegawa, Atsutake Kosuge, Yasuhiro Take, Tadahiro Kuroda Analytical thruchip inductive coupling channel design optimization. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Joonki Hong, Sangjun Park, Naehyuck Chang Accurate remaining range estimation for Electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Ahmed Nassar 0001, Fadi J. Kurdahi Lattice-based Boolean diagrams. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Robert Wille, Oliver Keszöcze, Marcel Walter, Patrick Rohrs, Anupam Chattopadhyay, Rolf Drechsler Look-ahead schemes for nearest neighbor optimization of 1D and 2D quantum circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Wei-Lin Wang, Yuan-Hao Chang 0001, Po-Chun Huang, Chia-Heng Tu, Hsin-Wen Wei, Wei-Kuan Shih Relay-based key management to support secure deletion for resource-constrained flash-memory storage devices. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Donkyu Baek, Joonki Hong, Naehyuck Chang Minimum-energy driving speed profiles for low-speed electric vehicles. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
1Jian Kuang 0001, Junjie Ye, Evangeline F. Y. Young Simultaneous template optimization and mask assignment for DSA with multiple patterning. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 3979 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license