The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BDD with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1993 (26) 1994 (23) 1995 (26) 1996 (22) 1997 (37) 1998 (39) 1999 (45) 2000 (50) 2001 (45) 2002 (47) 2003 (59) 2004 (66) 2005 (63) 2006 (61) 2007 (48) 2008 (51) 2009 (36) 2010 (17) 2011-2012 (29) 2013 (16) 2014 (19) 2015 (17) 2016 (16) 2017 (20) 2018 (16) 2019 (16) 2020-2021 (29) 2022 (16) 2023 (28) 2024 (2)
Publication types (Num. hits)
article(233) book(1) data(1) incollection(2) inproceedings(744) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 664 occurrences of 364 keywords

Results
Found 985 publication records. Showing 985 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
9Gerd Behrmann, Kim Guldstrand Larsen, Justin Pearson, Carsten Weise, Wang Yi 0001 Efficient Timed Reachability Analysis Using Clock Difference Diagrams. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Gianpiero Cabodi, Paolo Camurati, Claudio Passerone, Stefano Quer Computing Timed Transition Relations for Sequential Cycle-Based Simulation. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Michele Favalli, Cecilia Metra On the Design of Self-Checking Functional Units Based on Shannon Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9João Marques-Silva 0001, Thomas Glass Combinational Equivalence Checking Using Satisfiability and Recursive Learning. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Boolean Satisfiability, Recursive Learning, Combinational Equivalence Checking
9Frank Reffel, Stefan Edelkamp Error Detection with Directed Symbolic Model Checking. Search on Bibsonomy World Congress on Formal Methods The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Parosh Aziz Abdulla, Bengt Jonsson 0001 On the Existence of Network Invariants for Verifying Parameterized Systems. Search on Bibsonomy Correct System Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Dirk W. Hoffmann, Thomas Kropf Automatic Error Correction of Large Circuits Using Boolean Decomposition and Abstraction. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automatic error correction, design error diagnosis, formal methods, equivalence checking
9Nina Amla, E. Allen Emerson, Kedar S. Namjoshi Efficient Decompositional Model Checking for Regular Timing Diagrams. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Hüsnü Yenigün, Vladimir Levin, Doron A. Peled, Peter A. Beerel Hazard-Freedom Checking in Speed-Independent Systems. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Jules P. Bergmann, Mark Horowitz Improving coverage analysis and test generation for large designs. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Hiroyuki Higuchi, Fabio Somenzi Lazy group sifting for efficient symbolic state traversal of FSMs. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Sani R. Nassif, Tuyen V. Nguyen SOI technology and tools (abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  BibTeX  RDF
9Aiguo Xie, Peter A. Beerel Implicit enumeration of strongly connected components. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Kavita Ravi, Fabio Somenzi Efficient Fixpoint Computation for Invariant Checking. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Justin E. Harlow III, Franc Brglez Mirror, mirror, on the wall...is the new release any different at all? [BDDs]. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9Andreas G. Veneris, Ibrahim N. Hajj, Srikanth Venkataraman, W. Kent Fuchs Multiple Design Error Diagnosis and Correction in Digital VLSI Circuits. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
9David Ihsin Cheng, Kwang-Ting Cheng, Deborah C. Wang, Malgorzata Marek-Sadowska A hybrid methodology for switching activities estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
9Ragavan Manian, Joanne Bechta Dugan, David Coppit, Kevin J. Sullivan Combining Various Solution Techniques for Dynamic Fault Tree Analysis of Computer Systems. Search on Bibsonomy HASE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Takayuki Fujinaga, Kousuke Moriwaki, Nobuhiro Inuzuka, Hidenori Itoh Evolving Cooperative Actions Among Heterogeneous Agents by an Evolutionary Programming Method. Search on Bibsonomy SEAL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Friedrich W. von Henke, Stephan Pfab, Holger Pfeifer, Harald Rueß Case Studies in Meta-Level Theorem Proving. Search on Bibsonomy TPHOLs The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Enric Pastor, Jordi Cortadella Efficient Encoding Schemes for Symbolic Analysis of Petri Nets. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Petri nets, BDDs, symbolic analysis
9Evguenii I. Goldberg, Yuji Kukimoto, Robert K. Brayton Combinational Verification based on High-Level Functional Specifications. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Combinational verification, Domain transformations, BDDs
9Radu Negulescu Event-Driven Verification of Switch-Level Correctness Concerns. Search on Bibsonomy ACSD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF switch-level, Verification, concurrency, safety, deadlock, asynchronous, event-driven, speed-independence, process spaces
9Tevfik Bultan, Richard Gerber 0001, Christopher League Verifying Systems with Integer Constraints and Boolean Predicates: A Composite Approach. Search on Bibsonomy ISSTA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Jan Behrens, Stephan Waack Equivalence Test and Ordering Transformation for Parity-OBDDs of Different Variable Ordering. Search on Bibsonomy STACS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Apostolos A. Kountouris, Christophe Wolinski Hierarchical Conditional Dependency Graphs for Conditional Resource Sharing. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Sela Mador-Haim, Limor Fix Input Elimination and Abstraction in Model Checking. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
9Yufeng Luo, Tjahjadi Wongsonegoro, Adnan Aziz Hybrid Techniques for Fast Functional Simulation. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ASIC verification, simulation, emulation
9Aiguo Xie, Peter A. Beerel Efficient State Classification of Finite State Markov Chains. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, verification, guided search
9Yatin Vasant Hoskote, Jacob A. Abraham, Donald S. Fussell, John Moondanos Automatic verification of implementations of large circuits against HDL specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Srimat T. Chakradhar, Steven G. Rothweiler, Vishwani D. Agrawal Redundancy removal and test generation for circuits with non-Boolean primitives. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9M. Hira, Dipankar Sarkar 0001 Verification of Tempura specification of sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Maurizio Damiani The state reduction of nondeterministic finite-state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Gianpiero Cabodi, Paolo Camurati Symbolic FSM traversals based on the transition relation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Armin Biere µcke - Efficient µ-Calculus Model Checking. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Rajeev Alur, Robert K. Brayton, Thomas A. Henzinger, Shaz Qadeer, Sriram K. Rajamani Partial-Order Reduction in Symbolic State Space Exploration. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Yonit Kesten, Oded Maler, Monica Marcus, Amir Pnueli, Elad Shahar Symbolic Model Checking with Rich ssertional Languages. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9David Cyrluk, M. Oliver Möller, Harald Rueß An Efficient Decision Procedure for the Theory of Fixed-Sized Bit-Vectors. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Marius Bozga, Oded Maler, Amir Pnueli, Sergio Yovine Some Progress in the Symbolic Verification of Timed Automata. Search on Bibsonomy CAV The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Luca Benini, Giovanni De Micheli, Enrico Macii, Massimo Poncino, Riccardo Scarsi Symbolic synthesis of clock-gating logic for power optimization of control-oriented synchronous networks. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9MoonBae Song, Hoon Chang A variable reordering method for fast optimization of binary decision diagrams. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF variable reordering, distributed reordering algorithm, dynamic variable ordering, window permutation, optimization, binary decision diagrams, computation time, circuit optimisation
9Christoph Scholl 0001, Rolf Drechsler, Bernd Becker 0001 Functional simulation using binary decision diagrams. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Binary Decision Diagrams, Functional simulation
9Antonio Lioy, Enrico Macii, Massimo Poncino, Massimo Rossello Accurate Entropy Calculation for Large Logic Circuits Based on Output Clustering. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Nils Klarlund Mona & Fido: The Logic-Automaton Connection in Practice. Search on Bibsonomy CSL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Jae-Young Jang, Shaz Qadeer, Matt Kaufmann, Carl Pixley Formal Verification of FIRE: A Case Study. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee An Efficient Assertion Checker for Combinational Properties. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
9Gary D. Hachtel, Enrico Macii, Abelardo Pardo, Fabio Somenzi Markovian analysis of large finite state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Jerry Chih-Yuan Yang, Giovanni De Micheli, Maurizio Damiani Scheduling and control generation with environmental constraints based on automata representations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Masahiro Fujita Verification of Arithmetic Circuits by Comparing Two Similar Circuits. Search on Bibsonomy CAV The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Alex Kondratyev, Michael Kishinevsky, Alexander Taubin, Sergei Ten A Structural Approach for the Analysis of Petri Nets by Reduced Unfoldings. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Krzysztof Bilinski, Erik L. Dagless High Level Synthesis of Synchronous Parallel Controllers. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
9Kazuo Kawakubo, Koji Tanaka, Hiromi Hiraishi Formal Verification Of Self-Testing Properties Of Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-testing properties, logic function manipulation, decision function, output code words, self-checking logic, mutiple-input multiple-output circuit, fault tolerance, formal verification, combinational circuits, combinational circuits, binary decision diagrams, stuck-at faults, satisfiability problem, characteristic functions, Berger code
9Julien Dunoyer, Nizar Abdallah, Pirouz Bazargan-Sabet A symbolic simulation approach in resolving signals' correlation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF signal resolution, signal correlation resolution, symbolic simulation approach, evaluation package, signal transition density, specification levels, first order clue, independent inputs, binary decision diagram concept, algorithms, VLSI, probability, logic CAD, digital simulation, design process, decision theory, circuit analysis computing, integrated circuit design, circuit CAD, digital circuits, subroutines, symbol manipulation, power dissipation, correlation methods, digital integrated circuits, signal probability, probabilistic approach, synthesis tools
9Scott Woods, Giorgio Casinovi Efficient solution of systems of Boolean equations. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Boolean equations solution, gate-level logic simulation
9Hiroaki Iwashita, Tsuneo Nakata, Fumiyasu Hirose CTL model checking based on forward state traversal. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF state traversal, partitioned transition relation, model checking, formal verification, CTL
9Rajeev K. Ranjan 0001, Jagesh V. Sanghavi, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Binary decision diagrams on network of workstation. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF memory resources, breadth-first technique, verification, Boolean functions, synthesis, binary decision diagram, network of workstations
9Mitchell A. Thornton, V. S. S. Nair Efficient calculation of spectral coefficients and their applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Bill Lin 0001, Srinivas Devadas Synthesis of hazard-free multilevel logic under multiple-input changes from binary decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Henrik Reif Andersen, Bart Vergauwen Efficient Checking of Behavioural Relations and Modal Assertions using Fixed-Point Inversion. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9S. Rajan, Natarajan Shankar, Mandayam K. Srivas An Integration of Model Checking with Automated Proof Checking. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Kenneth L. McMillan Trace Theoretic Verification of Asynchronous Circuits Using Unfoldings. Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Randal E. Bryant Multipliers and Dividers: Insights on Arithmetic Circuits Verification (Extended Abstract). Search on Bibsonomy CAV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Silvano Gai, Maurizio Rebaudengo, Matteo Sonza Reorda An improved data parallel algorithm for Boolean function manipulation using BDDs. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Boolean function manipulation, parallel algorithms, parallel algorithm, Boolean functions, Binary Decision Diagrams, BDDs, SIMD architectures, CPU time, data parallel algorithm
9Michel R. C. M. Berkelaar, Lukas P. P. P. van Ginneken Efficient orthonormality testing for synthesis with pass-transistor selectors. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Edmund M. Clarke, Masahiro Fujita, Xudong Zhao 0005 Hybrid decision diagrams. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MTBDDs, arithmetic circuits verification, boolean vectors, hybrid decision diagrams, linear expressions, multi-terminal binary decision diagrams, symbolic model checking algorithms, computational complexity, time complexity, digital arithmetic, binary decision diagrams, circuit analysis computing, integers, BMDs
9David Cyrluk, Mandayam K. Srivas Theorem proving: not an esoteric diversion, but the unifying framework for industrial verification. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF industrial hardware verification, industrial verification, formal verification, logic testing, theorem proving, theorem prover, hardware verification
9Srimat T. Chakradhar, Steven G. Rothweiler Redundancy Removal and Test Generation for Circuits with Non-Boolean Primitives. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
9Andrew Seawright, Forrest Brewer Clairvoyant: a synthesis system for production-based specification. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Jerry R. Burch, Edmund M. Clarke, David E. Long, Kenneth L. McMillan, David L. Dill Symbolic model checking for sequential circuit verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Ted Stanion, Carl Sechen Boolean division and factorization using binary decision diagrams. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Edmund M. Clarke Automatic Verification of Finite-state Concurrent Systems. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Mikko Tiusanen Symbolic, Symmetry, and Stubborn Set Searches. Search on Bibsonomy Application and Theory of Petri Nets The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Christoph Meinel, Anna Slobodová On the Complexity of Constructing Optimal Ordered Binary Decision Diagrams. Search on Bibsonomy MFCS The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Bill Lin 0001, Srinivas Devadas Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Kenneth Y. Yun, Bill Lin 0001, David L. Dill, Srinivas Devadas Performance-driven synthesis of asynchronous controllers. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Yuji Kukimoto, Masahiro Fujita, Robert K. Brayton A redesign technique for combinational circuits based on gate reconnections. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
9Susanne Graf, Claire Loiseaux A Tool for Symbolic Program Verification and Abstration. Search on Bibsonomy CAV The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Edmund M. Clarke, Thomas Filkorn, Somesh Jha Exploiting Symmetry In Temporal Logic Model Checking. Search on Bibsonomy CAV The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Felice Balarin, Alberto L. Sangiovanni-Vincentelli An Iterative Approach to Language Containment. Search on Bibsonomy CAV The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Robert P. Kurshan, Leslie Lamport Verification of a Multiplier: 64 Bits and Beyond. Search on Bibsonomy CAV The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Farn Wang, Aloysius K. Mok, E. Allen Emerson Symbolic Model Checking for Distributed Real-Time Systems. Search on Bibsonomy FME The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
9Carl Pixley A theory and implementation of sequential hardware equivalence. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
9Reinhard Enders, Thomas Filkorn, Dirk Taubner Generating BDDs for Symbolic Model Checking in CCS. Search on Bibsonomy CAV The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
9Jerry R. Burch, Edmund M. Clarke, Kenneth L. McMillan, David L. Dill Sequential Circuit Verification Using Symbolic Model Checking. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #985 of 985 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license