|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 2930 occurrences of 1614 keywords
|
|
|
Results
Found 7434 publication records. Showing 7434 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Steven L. Scott, James R. Goodman |
Performance of Pruning-Cache Directories for Large-Scale Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(5), pp. 520-534, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
pruning-cache directories, multilevel inclusion, n-cube topology, bottleneck-free communication, multiprocessor interconnection networks, shared-memory multiprocessors, shared memory systems, storage management, memory architecture, buffer storage, large-scale multiprocessors |
12 | Daniel Lenoski, James Laudon, Truman Joe, David Nakahira, Luis Stevens, Anoop Gupta, John L. Hennessy |
The DASH Prototype: Logic Overhead and Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 4(1), pp. 41-61, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
DASH project, large-scale shared-memory multiprocessors, directory-based cachecoherence, reference behavior, DASHprotocol, atomic tests, performance evaluation, parallel programming, shared memory systems, storage management, buffer storage, hardware performance monitor, coherent caches |
12 | Cosimo Antonio Prete |
A process cache memory for tightly coupled multiprocessor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 30th Annual Southeast Regional Conference, 1992, Raleigh, North Carolina, USA, April 8-10, 1992, pp. 131-138, 1992, ACM, 0-89791-506-2. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
12 | Brent E. Nelson, James K. Archibald, J. Kelly Flanagan |
Performance analysis of inclusion effects in multi-level multiprocessor caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPDP ![In: Proceedings of the Third IEEE Symposium on Parallel and Distributed Processing, SPDP 1991, 2-5 December 1991, Dallas, Texas, USA, pp. 513-516, 1991, IEEE Computer Society, 0-8186-2310-1. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Jane Wilhelms, Allen Van Gelder |
A coherent projection approach for direct volume rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 18th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1991, Providence, RI, USA, April 27-30, 1991, pp. 275-284, 1991, ACM, 0-89791-436-8. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
|
12 | Ming-Chit Tam, Jonathan M. Smith, David J. Farber |
A Taxonomy-Based Comparison of Several Distributed Shared Memory Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGOPS Oper. Syst. Rev. ![In: ACM SIGOPS Oper. Syst. Rev. 24(3), pp. 40-67, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
12 | Sang Lyul Min, Jean-Loup Baer, Hyoung-Joo Kim |
An efficient caching support for critical sections in large-scale shared-memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 4th international conference on Supercomputing, ICS 1990, Amsterdam, The Netherlands, June 11-15, 1990, pp. 34-47, 1990, ACM, 0-89791-369-8. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
12 | Mike Lai |
On How To Move Mountains 'Associatively and Commutatively'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTA ![In: Rewriting Techniques and Applications, 3rd International Conference, RTA-89, Chapel Hill, North Carolina, USA, April 3-5, 1989, Proceedings, pp. 187-202, 1989, Springer, 3-540-51081-8. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
12 | Dan Fass |
Four General Representations and Processes for Use in Problems Solving. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KBCS ![In: Knowledge Based Computer Systems, International Conference KBCS '89, Bombay, India, December 11-13, 1989, Proceedings, pp. 169-178, 1989, Springer, 3-540-52850-4. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
12 | Scott T. Leutenegger, Mary K. Vernon |
A Mean-Value Performance Analysis of a New Multiprocessor Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMETRICS ![In: Proceedings of the 1988 ACM SIGMETRICS conference on Measurement and modeling of computer systems, Santa Fe, New Mexico, USA, May 24-27, 1988, pp. 167-176, 1988, ACM, 0-89791-254-3. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
|
12 | Norm Dadoun, David G. Kirkpatrick, John P. Walsh |
The geometry of beam tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCG ![In: Proceedings of the First Annual Symposium on Computational Geometry, Baltimore, Maryland, USA, June 5-7, 1985, pp. 55-61, 1985, ACM, 0-89791-163-6. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
12 | Yunhai Wang, Wei Chen 0001, Jian Zhang 0070, Tingxin Dong, Guihua Shan, Xuebin Chi |
Efficient Volume Exploration Using the Gaussian Mixture Model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 17(11), pp. 1560-1573, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Volume classification, volume rendering, Gaussian mixture model, temporal coherence, time-varying data |
12 | Brendan Moloney, Marco Ament, Daniel Weiskopf, Torsten Möller |
Sort-First Parallel Volume Rendering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 17(8), pp. 1164-1177, 2011. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
sort-first parallelization, early ray termination, ray coherence, visualization, Volume rendering, shadow, dynamic load balancing |
12 | Sebastian Päßler, Wolf-Joachim Fischer |
Food Intake Activity Detection Using a Wearable Microphone System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Intelligent Environments ![In: 7th International Conference on Intelligent Environments, IE 2011, Nottingham, United Kingdom, July 25-28, 2011, pp. 298-301, 2011, IEEE Computer Society, 978-1-4577-0830-5. The full citation details ...](Pics/full.jpeg) |
2011 |
DBLP DOI BibTeX RDF |
Chewing sound, magnitude squared coherence, food intake activity detection |
12 | John H. Kelm, Daniel R. Johnson, Steven S. Lumetta, Sanjay J. Patel, Matthew I. Frank |
A Task-Centric Memory Model for Scalable Accelerator Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 30(1), pp. 29-39, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
software coherence, parallel architecture, accelerator, memory model |
12 | Hyunjin Lee, Sangyeun Cho, Bruce R. Childers |
PERFECTORY: A Fault-Tolerant Directory Memory Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(5), pp. 638-650, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
chip yield, lifetime reliability, Chip multiprocessor, cache coherence |
12 | Antonio Flores, Juan L. Aragón, Manuel E. Acacio |
Heterogeneous Interconnects for Energy-Efficient Message Management in CMPs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 59(1), pp. 16-28, 2010. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Tiled chip multiprocessor, heterogeneous on-chip interconnection network, cache coherence protocol, energy-efficient architectures, parallel scientific applications |
12 | Dafna Shahaf, Carlos Guestrin |
Connecting the dots between news articles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the 16th ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, July 25-28, 2010, pp. 623-632, 2010, ACM, 978-1-4503-0055-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
coherence, news |
12 | Pierre Bénard, Forrester Cole, Aleksey Golovinskiy, Adam Finkelstein |
Self-similar texture for coherent line stylization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPAR ![In: 8th International Symposium on Non-Photorealistic Animation and Rendering, NPAR 2010, Annecy, France, June 7-10, 2010, Proceedings, pp. 91-97, 2010, ACM, 978-1-4503-0125-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
non-photorealistic rendering, line drawing, temporal coherence, artmap |
12 | Tinghuai Wang, John P. Collomosse, David Slatter, Phil Cheatle, Darryl Greig |
Video stylization for digital ambient displays of home movies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NPAR ![In: 8th International Symposium on Non-Photorealistic Animation and Rendering, NPAR 2010, Annecy, France, June 7-10, 2010, Proceedings, pp. 137-146, 2010, ACM, 978-1-4503-0125-1. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
video stylization, segmentation, composition, graph cut, ambient displays, temporal coherence |
12 | Koen van Boerdonk, Rob Tieben, Sietske Klooster, Elise van den Hoven |
Contact through canvas: an entertaining encounter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Pers. Ubiquitous Comput. ![In: Pers. Ubiquitous Comput. 13(8), pp. 551-567, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Choreography of interaction, Collaborative music composing, Bodily contact, Social coherence, User experience, Embodied interaction, Design research, Prototype evaluation |
12 | Amit Agrawal |
Non-photorealistic Rendering: Unleashing the Artist's Imagination [Graphically Speaking]. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Computer Graphics and Applications ![In: IEEE Computer Graphics and Applications 29(4), pp. 81-85, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
computer graphics, non-photorealistic rendering, coherence, stroke, stroke based rendering, stylized rendering |
12 | Wynn C. Stirling, Matthew S. Nokleby |
Satisficing Coordination and Social Welfare for Robotic Societies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Soc. Robotics ![In: Int. J. Soc. Robotics 1(1), pp. 53-69, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Conditional preferences, Multi-agent systems, Game theory, Coherence, Social choice theory, Satisficing |
12 | Chris Stary |
Didactic Models as Design Representations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HCI (4) ![In: Human-Computer Interaction. Interacting in Various Application Domains, 13th International Conference, HCI International 2009, San Diego, CA, USA, July 19-24, 2009, Proceedings, Part IV, pp. 226-235, 2009, Springer, 978-3-642-02582-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
learning management, integrated specification, e-learning, consistency, coherence, model-based design |
12 | Zhiyong Wang, Jixian Zhang, Guoman Huang |
Monitoring Co-seismic Deformation Fields of Bam Earthquake Using D-InSAR Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESIAT (2) ![In: 2009 International Conference on Environmental Science and Information Application Technology, ESIAT 2009, Wuhan, China, 4-5 July 2009, 3 Volumes, pp. 487-490, 2009, IEEE Computer Society, 978-0-7695-3682-8. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
D-InSAR, Bam earthquake, coherence map, surface deformation |
12 | George Toderici, Jay Yagnik |
Automatic, efficient, temporally-coherent video enhancement for large scale applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Multimedia ![In: Proceedings of the 17th International Conference on Multimedia 2009, Vancouver, British Columbia, Canada, October 19-24, 2009, pp. 609-612, 2009, ACM, 978-1-60558-608-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
temporal coherence, histogram equalization, video enhancement |
12 | Jinglei Wang, Dongsheng Wang 0002, Yibo Xue, Haixia Wang 0001 |
An Efficient Lightweight Shared Cache Design for Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 8th International Symposium, APPT 2009, Rapperswil, Switzerland, August 24-25, 2009, Proceedings, pp. 28-40, 2009, Springer, 978-3-642-03643-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Directory-based Cache Coherence Protocol, Lightweight Shared Cache, Chip Multiprocessors (CMP) |
12 | Miho Itoh |
Contextual Analysis Methods Capturing Knowledge Representation Related to the Reputation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NSS ![In: Third International Conference on Network and System Security, NSS 2009, Gold Coast, Queensland, Australia, October 19-21, 2009, pp. 552-559, 2009, IEEE Computer Society, 978-0-7695-3838-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
interpreting coherence of multiple semantic, representations in contextual sentences, overall role relation between words based on CEE |
12 | Yao-Yi Chiang, Craig A. Knoblock |
Classification of raster maps for automatic feature extraction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 17th ACM SIGSPATIAL International Symposium on Advances in Geographic Information Systems, ACM-GIS 2009, November 4-6, 2009, Seattle, Washington, USA, Proceedings, pp. 138-147, 2009, ACM, 978-1-60558-649-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
color moments, color-coherence vectors, luminance-boundary histogram, raster map classification, content-based image retrieval, color histogram, image similarity |
12 | Ariel Shamir, Olga Sorkine |
Visual media retargeting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ASIA Courses ![In: International Conference on Computer Graphics and Interactive Techniques, SIGGRAPH ASIA 2009, Yokohama, Japan, December 16-19, 2009, Courses Proceedings, 2009, ACM. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
media retargeting, image warping, temporal coherence, visual saliency, seam carving |
12 | Kazuhiro Kondo |
A Data Hiding Method for Stereo Audio Signals Using the Polarity of the Inter-Channel Decorrelator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IIH-MSP ![In: Fifth International Conference on Intelligent Information Hiding and Multimedia Signal Processing (IIH-MSP 2009), Kyoto, Japan, 12-14 September, 2009, Proceedings, pp. 86-89, 2009, IEEE Computer Society, 978-1-4244-4717-6. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
inter-channel coherence, audio, stereo, data hiding, blind detection |
12 | Sobha Lalitha Devi, Sankar Kuppan, Kavitha Venkataswamy, Pattabhi R. K. Rao |
Identification of Similar Documents Using Coherent Chunks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAARC ![In: Anaphora Processing and Applications, 7th Discourse Anaphora and Anaphor Resolution Colloquium, DAARC 2009, Goa, India, November 5-6, 2009, Proceedings, pp. 54-68, 2009, Springer, 978-3-642-04974-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Coreference, Coherence, Document similarity |
12 | Amin Firoozshahian, Alex Solomatnikov, Ofer Shacham, Zain Asgar, Stephen Richardson, Christos Kozyrakis, Mark Horowitz |
A memory system design framework: creating smart memories. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 406-417, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
memory access protocol, protocol controller, transactional memory, reconfigurable architecture, cache coherence, memory systems, multi-core processors, stream programming |
12 | Vijay Nagarajan, Rajiv Gupta 0001 |
Architectural support for shadow memory in multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VEE ![In: Proceedings of the 5th International Conference on Virtual Execution Environments, VEE 2009, Washington, DC, USA, March 11-13, 2009, pp. 1-10, 2009, ACM, 978-1-60558-375-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
coupled coherence, shadow memory |
12 | Michael R. Marty, Mark D. Hill |
Virtual Hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 28(1), pp. 99-109, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
virtual hierarchies, virtual machines, partitioning, chip multiprocessors (CMPs), multicore, cache coherence, server consolidation, space sharing |
12 | Magteld Zeitler, Pascal Fries, Stan C. A. M. Gielen |
Biased competition through variations in amplitude of gamma -oscillations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 25(1), pp. 89-107, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Stimulus competition, Temporal correlated spike input, Coherence, Selective attention |
12 | Jacob Leverich, Hideho Arakida, Alex Solomatnikov, Amin Firoozshahian, Mark Horowitz, Christos Kozyrakis |
Comparative evaluation of memory models for chip multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(3), pp. 12:1-12:30, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
streaming memory, parallel programming, Chip multiprocessors, cache coherence, locality optimizations |
12 | Du Zhang |
Quantifying Knowledge Base Inconsistency Via Fixpoint Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Trans. Comput. Sci. ![In: Transactions on Computational Science II, pp. 145-160, 2008, Springer, 978-3-540-87562-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
KB coherence, significance of inconsistency, inconsistency, fixpoint semantics |
12 | Linda Hermer-Vazquez |
Tracing 'driver' versus 'modulator' information flow throughout large-scale, task-related neural circuitry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comb. Optim. ![In: J. Comb. Optim. 15(3), pp. 242-256, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Directed coherence, Action potentials, Local field potentials, Information flow, Granger causality |
12 | Paras Kaul |
Brain Wave Games and E-Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education ![In: Innovative Techniques in Instruction Technology, E-learning, E-assessment, and Education, Proceedings of the 2007 International Conference on Engineering Education, Instructional Technology, Assessment, and E-learning (EIAE 2007), part of the International Joint Conferences on Computer, Information, and Systems Sciences, and Engineering (CISSE 2007), Bridgeport, CT, USA, December 3-12, 2007, pp. 410-415, 2008, Springer, 978-1-4020-8738-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
IBVA, neurological, healing, coherence, biofeedback, nonverbal communication, Brainwaves |
12 | Giulianella Coletti, Romano Scozzafava, Barbara Vantaggi |
Possibility Measures in Probabilistic Inference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMPS ![In: Soft Methods for Handling Variability and Imprecision, Selected papers from the 4th International Conference on Soft Methods in Probability and Statistics, SMPS 2008, Toulouse, France, September 8-10, 2008, pp. 51-58, 2008, Springer, 978-3-540-85026-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Weakly logical independence, Coherence, Probabilistic inference, Uncertainty measures |
12 | Wei-Qi Yan 0001, Mohan S. Kankanhalli |
Multimedia simplification for optimized MMS synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Multim. Comput. Commun. Appl. ![In: ACM Trans. Multim. Comput. Commun. Appl. 3(1), pp. 5, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
MMS synthesis, Multimedia simplification, experiential sampling, home care monitoring, hypermedia coherence, mobile phone, soccer video |
12 | Philippe Artzner, Freddy Delbaen, Jean-Marc Eber, David Heath, Hyejin Ku |
Coherent multiperiod risk adjusted values and Bellman's principle. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Ann. Oper. Res. ![In: Ann. Oper. Res. 152(1), pp. 5-22, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Bellman’s principle, Capital requirement, Risk-adjusted values, Stability by pasting, Time consistency, Coherence |
12 | Otávio A. S. Bueno, Newton C. A. da Costa |
Quasi-truth, paraconsistency, and the foundations of science. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Synth. ![In: Synth. 154(3), pp. 383-399, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Scientific change, Coherence, Inconsistency, Paraconsistent logic, Rationality |
12 | Min Xu, Rastislav Bodík, Mark D. Hill |
A Hardware Memory Race Recorder for Deterministic Replay. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Micro ![In: IEEE Micro 27(1), pp. 48-55, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
shared-memory race recording, multithreading, multicore, cache coherence, determinism |
12 | Catherine M. Sweeney-Reed, Slawomir J. Nasuto |
A novel approach to the detection of synchronisation in EEG based on empirical mode decomposition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 23(1), pp. 79-111, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Time-frequency, Phase synchrony, EEG, Coherence, Empirical mode decomposition |
12 | Christopher B. Colohan, Anastassia Ailamaki, J. Gregory Steffan, Todd C. Mowry |
CMP Support for Large and Dependent Speculative Threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 18(8), pp. 1041-1054, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
databases, Multiprocessor Systems, cache coherence, thread-level speculation |
12 | Darrell P. Rowbottom |
The Insufficiency of the Dutch Book Argument. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Stud Logica ![In: Stud Logica 87(1), pp. 65-71, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Dutch Book, probabilistic theories of rationality, subjective interpretation of probability, coherence, degrees of belief |
12 | Albert Gatt, Kees van Deemter |
Lexical Choice and Conceptual Perspective in the Generation of Plural Referring Expressions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Log. Lang. Inf. ![In: J. Log. Lang. Inf. 16(4), pp. 423-443, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Generation of referring expressions, Plurals, Conceptual coherence, Semantic similarity, Natural language generation |
12 | Il-Chul Moon, Kathleen M. Carley |
Modeling and Simulating Terrorist Networks in Social and Geospatial Dimensions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 22(5), pp. 40-49, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
coherence and coordination, social networks, multiagent systems, distributed artificial intelligence |
12 | Guillaume Charpiat, Pierre Maurel, Jean-Philippe Pons, Renaud Keriven, Olivier D. Faugeras |
Generalized Gradients: Priors on Minimization Flows. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 73(3), pp. 325-344, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
minimization flow, sobolev, generalized gradient, rigidification, semi-local rigidification, shape warping, shape, active contours, Hausdorff distance, landmarks, gradient descent, inner product, spatial coherence |
12 | Xiaoyong Wang, Yaofeng Fang |
Towards the Application of Distributed Database in University MIS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IFSA (2) ![In: Theoretical Advances and Applications of Fuzzy Logic and Soft Computing, Selection of Papers from IFSA 2007, pp. 513-519, 2007, Springer, 978-3-540-72433-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
University MIS, Coherence and parallel of data, Data copy, Distributed database, Data distribution |
12 | Akaysha C. Tang, Matthew T. Sutherland, Peng Sun, Yan Zhang, Masato Nakazawa, Amy Korzekwa, Zhen Yang, Mingzhou Ding |
Top-Down Versus Bottom-Up Processing in the Human Brain: Distinct Directional Influences Revealed by Integrating SOBI and Granger Causality. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA ![In: Independent Component Analysis and Signal Separation, 7th International Conference, ICA 2007, London, UK, September 9-12, 2007., pp. 802-809, 2007, Springer, 978-3-540-74493-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
second-order blind identification (SOBI), feedback, electroencephalogram, coherence, bottom-up, top-down, Granger causality, feed-forward |
12 | Alexei Lisitsa 0001, Andrei P. Nemytykh |
A Note on Specialization of Interpreters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSR ![In: Computer Science - Theory and Applications, Second International Symposium on Computer Science in Russia, CSR 2007, Ekaterinburg, Russia, September 3-7, 2007, Proceedings, pp. 237-248, 2007, Springer, 978-3-540-74509-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
program verification, cache coherence protocols, Program specialization, supercompilation |
12 | Andreea-Rodica Sterian |
Computer Modeling of the Coherent Optical Amplifier and Laser Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part I, pp. 436-449, 2007, Springer, 978-3-540-74468-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
optical amplifier, rate equations, Runge, Kutta method, host material, photon pumping, crystal laser, fiber laser, erbium doped medium, pump wavelength, coherence, numerical simulation |
12 | Michael F. Spear, Arrvindh Shriraman, Hemayet Hossain, Sandhya Dwarkadas, Michael L. Scott |
Alert-on-update: a communication aid for shared memory multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPoPP ![In: Proceedings of the 12th ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming, PPOPP 2007, San Jose, California, USA, March 14-17, 2007, pp. 132-133, 2007, ACM, 978-1-59593-602-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
communication, transactional memory, events, coherence |
12 | Arrvindh Shriraman, Michael F. Spear, Hemayet Hossain, Virendra J. Marathe, Sandhya Dwarkadas, Michael L. Scott |
An integrated hardware-software approach to flexible transactional memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 34th International Symposium on Computer Architecture (ISCA 2007), June 9-13, 2007, San Diego, California, USA, pp. 104-115, 2007, ACM, 978-1-59593-706-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
RSTM, multiprocessors, transactional memory, cache coherence |
12 | Jiangbo Dang, Michael N. Huhns |
Concurrent Multiple-Issue Negotiation for Internet-Based Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Internet Comput. ![In: IEEE Internet Comput. 10(6), pp. 42-49, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Semantic Web, coordination, multiagent systems, intelligent agents, coherence, intelligent Web services |
12 | Wei-keng Liao, Kenin Coloma, Alok N. Choudhary, Lee Ward, Eric Russell, Neil Pundit |
Scalable Design and Implementations for MPI Parallel Overlapping I/O. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 17(11), pp. 1264-1276, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
atomic I/O, file atomicity, file consistency, overlapping I/O, MPI, cache coherence, MPI I/O |
12 | Sung-Eui Yoon, Peter Lindstrom 0001 |
Mesh Layouts for Block-Based Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 1213-1220, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Mesh and graph layouts, cache-aware and cache-oblivious layouts, metrics for cache coherence, data locality |
12 | Shigeo Takahashi, Kenichi Yoshida, Kenji Shimada, Tomoyuki Nishita |
Occlusion-Free Animation of Driving Routes for Car Navigation Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Vis. Comput. Graph. ![In: IEEE Trans. Vis. Comput. Graph. 12(5), pp. 1141-1148, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
nonperspective projection, occlusion-free animation, visual perception, temporal coherence, car navigation systems |
12 | Justin Werfel, Radhika Nagpal |
Extended Stigmergy in Collective Construction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Intell. Syst. ![In: IEEE Intell. Syst. 21(2), pp. 20-28, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
coherence and coordination, multiagent systems, distributed artificial intelligence |
12 | Jagan Sankaranarayanan, Houman Alborzi, Hanan Samet |
Distance join queries on spatial networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GIS ![In: 14th ACM International Symposium on Geographic Information Systems, ACM-GIS 2006, November 10-11, 2006, Arlington, Virginia, USA, Proceedings, pp. 211-218, 2006, ACM, 1-59593-529-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SILC framework, path coherence, query processing, location-based services, spatial databases, spatial networks |
12 | Naoaki Okazaki, Yutaka Matsuo, Mitsuru Ishizuka |
Improving chronological ordering of sentences extracted from multiple newspaper articles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Asian Lang. Inf. Process. ![In: ACM Trans. Asian Lang. Inf. Process. 4(3), pp. 321-339, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
sentence ordering, coherence, arrange, order, Multi-document summarization |
12 | Manuel E. Acacio, José González 0002, José M. García 0001, José Duato |
A Two-Level Directory Architecture for Highly Scalable cc-NUMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 16(1), pp. 67-79, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
directory memory overhead, two-level directory architecture, compressed sharing codes, unnecessary coherence messages, cc-NUMA multiprocessor, Scalability |
12 | María Jesús Garzarán, Milos Prvulovic, José María Llabería, Víctor Viñals, Lawrence Rauchwerger, Josep Torrellas |
Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 2(3), pp. 247-279, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Caching and buffering support, memory hierarchies, shared-memory multiprocessors, thread-level speculation, coherence protocol |
12 | Peter J. Stuckey, Martin Sulzmann |
A theory of overloading. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Program. Lang. Syst. ![In: ACM Trans. Program. Lang. Syst. 27(6), pp. 1216-1269, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
evidence translation, Constraints, type inference, coherence, overloading, type classes |
12 | Hongcheng Wang, Qing Wu 0006, Lin Shi, Yizhou Yu, Narendra Ahuja |
Out-of-core tensor approximation of multi-dimensional matrices of visual data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 24(3), pp. 527-535, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
block-based partitioning, multilinear models, volume simulations, bidirectional texture functions, spatial coherence |
12 | Michael Breakspear, Leanne M. Williams, Cornelis J. Stam |
A Novel Method for the Topographic Analysis of Neural Activity Reveals Formation and Dissolution of 'Dynamic Cell Assemblies'. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 16(1), pp. 49-68, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
neural synchronization, nonlinear desynchronization, EEG coherence, cognition |
12 | Mainak Chaudhuri, Mark A. Heinrich |
The Impact of Negative Acknowledgments in Shared Memory Scientific Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(2), pp. 134-150, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
negative acknowledgment, node controller occupancy, Distributed shared memory, cache coherence protocol |
12 | Luca Faes, Alberto Porta, R. Cucino, Sergio Cerutti, Renzo Antolini, Giandomenico Nollo |
Causal transfer function analysis to describe closed loop interactions between cardiovascular and cardiorespiratory variability signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 90(6), pp. 390-399, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Linear transfer function, Cardiovascular control, Coherence |
12 | Andrew Nealen, Marc Alexa |
Fast and High Quality Overlap Repair for Patch-Based Texture Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Graphics International ![In: 2004 Computer Graphics International (CGI 2004), 16-19 June 2004, Crete, Greece, pp. 582-585, 2004, IEEE Computer Society, 0-7695-2171-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Patch-based Texture Synthesis, k-coherence search, Principal Component Analysis |
12 | Mani Azimi, Ching-Tsun Chou, Akhilesh Kumar, Victor W. Lee, Phanindra K. Mannava, Seungjoon Park |
Experience with Applying Formal Methods to Protocol Specification and System Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Formal Methods Syst. Des. ![In: Formal Methods Syst. Des. 22(2), pp. 109-116, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
rule-based checking of tables, minimal deadlock-free wormhole routing schemes, fault-tolerant link initialization, formal verification, cache coherence protocols, sliding window protocols |
12 | H. Van Dyke Parunak, Sven Brueckner, Mitchell Fleischer, James Odell |
A preliminary taxonomy of multi-agent interactions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AAMAS ![In: The Second International Joint Conference on Autonomous Agents & Multiagent Systems, AAMAS 2003, July 14-18, 2003, Melbourne, Victoria, Australia, Proceedings, pp. 1090-1091, 2003, ACM, 1-58113-683-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
communication, constraint, coordination, cooperation, correlation, conversation, competition, contention, coherence, construction, stigmergy, congruence, command, agent interaction |
12 | Jian Yin 0002, Lorenzo Alvisi, Michael Dahlin, Arun Iyengar |
Engineering web cache consistency. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Internet Techn. ![In: ACM Trans. Internet Techn. 2(3), pp. 224-259, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
scalability, Cache coherence, cache consistency, dynamic content, volume, lease |
12 | Lourena Rocha, Luiz Velho 0001, Paulo Cezar Pinto Carvalho |
Image Moments-Based Structuring and Tracking of Objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIBGRAPI ![In: 15th Brazilian Symposium on Computer Graphics and Image Processing (SIBGRAPI 2002), 7-10 October 2002, Fortaleza-CE, Brazil, pp. 99-105, 2002, IEEE Computer Society, 0-7695-1846-X. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
ellipsoidal structure, hierarchical coherence, moment invariants, BSP-tree |
12 | Keith A. Hardie, Klaus Heiner Kamps, R. W. Kieboom |
A Homotopy Bigroupoid of a Topological Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Appl. Categorical Struct. ![In: Appl. Categorical Struct. 9(3), pp. 311-327, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
homotopy bigroupoid, bigroupoid, bicategory, 2-groupoid, homotopical algebra, homotopy operations, coherence |
12 | Philip Bohannon, Peter McIlroy, Rajeev Rastogi |
Main-Memory Index Structures with Fixed-Size Partial Keys. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGMOD Conference ![In: Proceedings of the 2001 ACM SIGMOD international conference on Management of data, Santa Barbara, CA, USA, May 21-24, 2001, pp. 163-174, 2001, ACM, 1-58113-332-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
T-tree, main-memory indices, cache coherence, B-trees, key compression |
12 | Takeo Hosomi, Yasushi Kanoh, Masaaki Nakamura, Tetsuya Hirose |
A DSM Architecture for a Parallel Computer Cenju-4. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Sixth International Symposium on High-Performance Computer Architecture, Toulouse, France, January 8-12, 2000, pp. 287-298, 2000, IEEE Computer Society, 0-7695-0550-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
scalability, multicast, shared-memory, deadlock, coherence protocol, starvation, directory, gathering, ccNUMA |
12 | Dimitrios S. Nikolopoulos, Theodore S. Papatheodorou |
Fast Synchronization on Scalable Cache-Coherent Multiprocessors using Hybrid Primitives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: Proceedings of the 14th International Parallel & Distributed Processing Symposium (IPDPS'00), Cancun, Mexico, May 1-5, 2000, pp. 711-720, 2000, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
performance evaluation, synchronization, shared-memory, cache-coherence, scalable architectures |
12 | Giulianella Coletti, Romano Scozzafava |
Conditioning and inference in intelligent systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 3(3), pp. 118-130, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
partial knowledge, coherence, Conditional probability |
12 | Frank Lad |
Assessing the foundation for Bayesian networks: a challenge to the principles and the practice. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 3(3), pp. 174-180, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Coherence, causality, exchangeability, conditional independence |
12 | Jeffrey A. Jacob, Paul Chow |
Memory Interfacing and Instruction Specification for Reconfigurable Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the 1999 ACM/SIGDA Seventh International Symposium on Field Programmable Gate Arrays, FPGA 1999, Monterey, CA, USA, February 21-23, 1999, pp. 145-154, 1999, ACM, 1-58113-088-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
memory interfacing, FPGA, reconfigurable computer, reconfigurable processor, memory coherence |
12 | Harish Devarajan, Dominic J. D. Hughes, Gordon D. Plotkin, Vaughan R. Pratt |
Full Completeness of the Multiplicative Linear Logic of Chu Spaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LICS ![In: 14th Annual IEEE Symposium on Logic in Computer Science, Trento, Italy, July 2-5, 1999, pp. 234-243, 1999, IEEE Computer Society, 0-7695-0158-3. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Chu spaces, categorical logic, natural transformations, coherence spaces, Linear logic, logical relations |
12 | David A. Koufaty, Josep Torrellas |
Compiler Support for Data Forwarding in Scalable Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 181-191, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
cache hierarchy performance, compiler algorithms to hide memory latency, shared-memory multiprocessors, cache coherence protocols, data forwarding |
12 | Jim Nilsson, Fredrik Dahlgren |
Improving Performance of Load-Store Sequences for Transaction Processing Workloads on Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPP ![In: Proceedings of the International Conference on Parallel Processing 1999, ICPP 1999, Wakamatsu, Japan, September 21-24, 1999, pp. 246-257, 1999, IEEE Computer Society, 0-7695-0350-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
load-store sequences, performance evaluation, databases, operating systems, multiprocessors, computer architecture, transaction processing, cache coherence protocols |
12 | Fredrik Dahlgren, Michel Dubois 0001, Per Stenström |
Performance Evaluation and Cost Analysis of Cache Protocol Extensions for Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 47(10), pp. 1041-1055, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
competitive-update protocols, write caches, performance evaluation, prefetching, Shared-memory multiprocessors, cache-coherence protocols |
12 | Weiguang Guan, Songde Ma |
A List-Processing Approach to Compute Voronoi Diagrams and the Euclidean Distance Transform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 20(7), pp. 757-761, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Voronoi transformation, Voronoi diagram, coherence, distance transformation, Euclidean distance |
12 | San-qi Li, James D. Pruneski |
The linearity of low frequency traffic flow an intrinsic I/O property in queueing systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE/ACM Trans. Netw. ![In: IEEE/ACM Trans. Netw. 5(3), pp. 429-443, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
coherence functin, filtered peak input rate, link capacity allocation, nonlinear break frequency |
12 | Lui Sha, Shirish S. Sathaye, Jay K. Strosnider |
Analysis of Dual-Link Networks for Real-Time Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 46(1), pp. 1-13, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
dual-link networks, T-schedulability, IEEE 802.6, schedulability, Real-time scheduling, flow control, coherence, priority, preemption, DQDB |
12 | Liuxi Yang, Josep Torrellas |
Speeding up the Memory Hierarchy in Flat COMA Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the 3rd IEEE Symposium on High-Performance Computer Architecture (HPCA '97), San Antonio, Texas, USA, February 1-5, 1997, pp. 4-13, 1997, IEEE Computer Society, 0-8186-7764-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
cache-only memory architectures, cache coherence protocols, cache hierarchies, scalable shared-memory multiprocessors |
12 | Mark A. Duchaineau, Murray Wolinsky, David E. Sigeti, Mark C. Miller, Charles Aldrich, Mark B. Mineev-Weinstein |
ROAMing terrain: real-time optimally adapting meshes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Visualization ![In: 8th IEEE Visualization Conference, IEEE Vis 1997, Phoenix, AZ, USA, October 19-24, 1997, Proceedings, pp. 81-88, 1997, IEEE Computer Society and ACM, 0-8186-8262-0. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
triangle bintree, view-dependent mesh, greedy algorithms, frame-to-frame coherence |
12 | Matt Pharr, Craig E. Kolb, Reid Gershbein, Pat Hanrahan |
Rendering complex scenes with memory-coherent ray tracing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 24th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1997, Los Angeles, CA, USA, August 3-8, 1997, pp. 101-108, 1997, ACM, 0-89791-896-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
computation reordering, scene data management, caching, coherence |
12 | Martin Engebretsen |
Hyper-news: Revolution or Contradiction? ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hypertext ![In: Hypertext 97, The Eigth ACM Conference on Hypertext, University of Southampton, UK, April 6-11, 1997, pp. 222-223, 1997, ACM, 0-89791-866-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
hypertest journalism, Internet, ethics, coherence |
12 | Valery M. Chelnokov, Victoria L. Zephyrova |
Collective Phenomena in Hypertext Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Hypertext ![In: Hypertext 97, The Eigth ACM Conference on Hypertext, University of Southampton, UK, April 6-11, 1997, pp. 220-221, 1997, ACM, 0-89791-866-5. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
SMIsC, cluster of meaning formed by hypertext nodes, coherent navigation, collective phenomena in populations of hypertext nodes, discourse macrostructure, discourse semantic coherence, hypertext statics and dynamics, hypertext-node macrostatus, spreading-activation search, Internet, Internet, depth-first search |
12 | Legand L. Burge III, Mitchell L. Neilsen |
A decentralized communication efficient distributed shared memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 1996 ACM Symposium on Applied Computing, SAC'96, Philadelphia, PA, USA, February 17-19, 1996, pp. 358-365, 1996, ACM, 0-89791-820-7. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
database, distributed algorithm, distributed shared memory, sequential consistency, memory coherence |
12 | Alain Raynaud, Zheng Zhang 0001, Josep Torrellas |
Distance-Adaptive Update Protocols for Scalable Shared-Memory Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 323-334, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
update protocols, cache coherence protocols, scalable shared-memory multiprocessors |
12 | Satyan R. Coorg, Seth J. Teller |
Temporally Coherent Conservative Visibility (Extended Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCG ![In: Proceedings of the Twelfth Annual Symposium on Computational Geometry, Philadelphia, PA, USA, May 24-26, 1996, pp. 78-87, 1996, ACM, 0-89791-804-5. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
conversative visibility, linearized dynamic aspect graphs, visual events, octrees, temporal coherence, hierarchical representations |
12 | Jonathan Shade, Dani Lischinski, David Salesin, Tony DeRose, John M. Snyder |
Hierarchical Image Caching for Accelerated Walkthroughs of Complex Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 23rd Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1996, New Orleans, LA, USA, August 4-9, 1996, pp. 75-82, 1996, ACM, 0-89791-746-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
path coherence, spatial hierarchy, image-based rendering, level-of-detail, texture mapping, BSP-tree |
12 | Luiz André Barroso, Michel Dubois 0001 |
Performance Evaluation of the Slotted Ring Multiprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 44(7), pp. 878-890, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
computer architecture, analytical models, shared memory multiprocessors, trace-driven simulation, Cache coherence protocols, slotted ring |
|
|