The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ESSCIRC"( http://dblp.L3S.de/Venues/ESSCIRC )

URL (DBLP): http://dblp.uni-trier.de/db/conf/esscirc

Publication years (Num. hits)
2003 (175) 2004 (115) 2005 (128) 2007 (121) 2008 (122) 2009 (113) 2010 (124) 2011 (133) 2012 (121) 2013 (109) 2014 (113) 2015 (102) 2016 (124) 2017 (96) 2018 (94) 2019 (97) 2021 (125) 2022 (132) 2023 (129)
Publication types (Num. hits)
inproceedings(2254) proceedings(19)
Venues (Conferences, Journals, ...)
ESSCIRC(2273)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 2273 publication records. Showing 2273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Woo-Rham Bae, Gyu-Seob Jeong, Kwanseo Park, Sung-Yong Cho, Yoonsoo Kim, Deog-Kyoon Jeong A 0.36 pJ/bit, 12.5 Gb/s forwarded-clock receiver with a sample swapping scheme and a half-bit delay line. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shunli Ma, Hao Yu 0001, Yang Shang, Wei Meng Lim, Junyan Ren A 131.5GHz, -84dBm sensitivity super-regenerative receiver by zero-phase-shifter coupled oscillator network in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peng Cong, Piyush Karande, Jonathan Landes, Rob Corey, Scott Stanslaski, Wesley Santa, Randy Jensen, Forrest Pape, Dan Moran, Tim Denison A 32-channel modular bi-directional neural interface system with embedded DSP for closed-loop operation. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Seongwook Park, Gyeonghoon Kim, Junyoung Park 0002, Hoi-Jun Yoo A 1.5nJ/pixel super-resolution enhanced FAST corner detection processor for high accuracy AR. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Peng Zhu, Xinpeng Xing, Georges G. E. Gielen A 40MHz-BW 35fJ/step-FoM nonlinearity-cancelling two-step ADC with dual-input VCO-based quantizer. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shahbaz Abbasi, Ayman Shabra 1.8GHz 3rd order lowpass filter with programmable gain in 180nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hooman Darabi, David Murphy, Mohyee Mikhemar, Ahmad Mirzaei Blocker tolerant software defined receivers. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aritra Banerjee, Rahmi Hezar, Lei Ding 0001, Nathan Schemm, Baher Haroun A 29.5 dBm class-E outphasing RF power amplifier with performance enhancement circuits in 45nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Quan Pan 0002, Yipeng Wang 0003, Zhengxiong Hou, Li Sun, Liang Wu, Wing-Hung Ki, Patrick Chiang 0001, C. Patrick Yue A 41-mW 30-Gb/s CMOS optical receiver with digitally-tunable cascaded equalization. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abdelali El Amraoui, Marc Bocquet, F. Barros, Jean-Michel Portal, M. Charbonneau, Stéphanie Jacob, Jacqueline Bablet, Mohamed Benwadih, Vincent Fischer, Romain Coppard, R. Gwoziecky Printed complementary organic thin film transistors based decoder for ferroelectric memory. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Debasish Behera, Nagendra Krishnapura A 2-channel 1MHz BW, 80.5 dB DR ADC using a DS modulator and zero-ISI filter. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chul Kim, Sohmyung Ha, Chris M. Thomas, Siddharth Joshi, Jongkil Park, Lawrence E. Larson, Gert Cauwenberghs A 7.86 mW +12.5 dBm in-band IIP3 8-to-320 MHz capacitive harmonic rejection mixer in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pyoungwon Park, Kofi A. A. Makinwa, David Ruffieux A resistor-based temperature sensor for a real time clock with ±2ppm frequency stability. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Greg Atwood, Scott DeBoer, Kirk Prall, Linda Somerville A semiconductor memory development and manufacturing perspective. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Juergen Wittmann, Thoralf Rosahl, Bernhard Wicht A 50V high-speed level shifter with high dv/dt immunity for multi-MHz DCDC converters. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yan Zhu 0001, Chi-Hang Chan, Seng-Pan U, Rui Paulo Martins An 11b 900 MS/s time-interleaved sub-ranging pipelined-SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marco Garampazzi, Paulo M. Mendes, Nicola Codega, Danilo Manstretta, Rinaldo Castello A 195.6dBc/Hz peak FoM P-N class-B oscillator with transformer-based tail filtering. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yoshiaki Yoshihara, Hideaki Majima, Ryuichi Fujimoto A 0.171-mW, 2.4-GHz Class-D VCO with dynamic supply voltage control. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kathleen Philips Ultra Low Power short range radios: Covering the last mile of the IoT. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hossein Kassiri, Arezu Bagheri, Nima Soltani, Karim Abdelhalim, Hamed Mazhab-Jafari, Muhammad Tariqus Salam, José Luis Pérez Velazquez, Roman Genov Inductively-powered direct-coupled 64-channel chopper-stabilized epilepsy-responsive neurostimulator with digital offset cancellation and tri-band radio. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xicheng Jiang, Xinyu Yu, Fang Lin, Felix Cheung, Mike Inerfield, Kevin Li, Abhishek Kamath, Harsh Mehta, Jingbo Duan, Jing Yang, Gautham Krishnamurthy, Sumant Ranganathan, Darwin Cheung, Naga Radha Krishna Damaraju, Jianlong Chen, Dongtian Lu, Vinod Jayakumar, Leon Wang, Dario Soltesz, Hongwei Kong, Min Zhang, David Chang A 28 nm analog and audio mixed-signal front end for 4G/LTE Cellular System-on-Chip. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Badr Malki, Bob Verbruggen, Piet Wambacq, Kazuaki Deguchi, Masao Iriguchi, Jan Craninckx A complementary dynamic residue amplifier for a 67 dB SNDR 1.36 mW 170 MS/s pipelined SAR ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Luca Ravezzi, Hamid Partovi, Dong Wang, C. Wang, Ronen Cohen, Matt Ashcraft, Alfred Yeung, Qawi Harvard, Russell Homer, John Ngai, Greg Favor Clock and synchronization networks for a 3GHz 64bit ARMv8 8-core SoC. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Walter Snoeys How chips helped discover the Higgs boson at CERN. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Piotr Maj, Pawel Grybos, Piotr Kmon, Robert Szczygiel 23552-channel IC for single photon counting pixel detectors with 75 µm pitch, ENC of 89 e- rms, 19 e- rms offset spread and 3% rms gain spread. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nikola Krstajic, Richard Walker 0004, James Levitt, Simon P. Poland, David Day-Uei Li, Simon Ameer-Beg, Robert K. Henderson A 256 × 8 SPAD line sensor for time resolved fluorescence and raman sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ugur Sonmez, Rui Quan, Fabio Sebastiano, Kofi A. A. Makinwa A 0.008-mm2 area-optimized thermal-diffusivity-based temperature sensor in 160-nm CMOS for SoC thermal monitoring. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jonas Lindstrand, Ivaylo Vasilev, Henrik Sjöland A low band cellular terminal antenna impedance tuner in 130nm CMOS-SOI technology. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Achim Seidel, Marco Salvatore Costa, Joachim Joos, Bernhard Wicht Bootstrap circuit with high-voltage charge storing for area efficient gate drivers in power management systems. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Myungjoon Choi, Inhee Lee, Tae-Kwang Jang, David T. Blaauw, Dennis Sylvester A 23pW, 780ppm/°C resistor-less current reference using subthreshold MOSFETs. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nereo Markulic, Kuba Raczkowski, Piet Wambacq, Jan Craninckx A 10-bit, 550-fs step Digital-to-Time Converter in 28nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Alaa Medra, Vito Giannini, Davide Guermandi, Piet Wambacq A 79GHz variable gain low-noise amplifier and power amplifier in 28nm CMOS operating up to 125°C. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Takahiro Nakamura, Naoki Kitazawa, Kaoru Kohira, Hiroki Ishikuro A SAW-less LTE transmitter with high-linearity modulator using BPF-based I/Q summing. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcel A. Kossel, Christian Menolfi, Thomas Toifl, Pier Andrea Francese, Matthias Braendli, Thomas Morf, Lukas Kull, Toke Meyer Andersen, Hazar Yueksel A DDR3/4 memory link TX supporting 24-40 Ω, 0.8-1.6 V, 0.8-5.0 Gb/s with slew rate control and thin oxide output stages in 22-nm CMOS SOI. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Assim Boukhayma, Jean-Pierre Rostaing, A. Mollard, Fabrice Guellec, Michele Benetti, Guillaume Ducournau, Jean-François Lampin, Antoine Dupret, Christian C. Enz, Michaël Tchagaspanian, J.-A. Nicolas A 533pW NEP 31×31 pixel THz image sensor based on in-pixel demodulation. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abhirup Lahiri, Nitin Gupta, Anand Kumar, Pradeep Dhadda A 600µA 32 kHz input 960 MHz output CP-PLL with 530ps integrated jitter in 28nm FD-SOI process. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Barend van Liempd, Jan Craninckx, R. Singh, Patrick Reynaert, Satoshi Malotaux, John R. Long A dual-notch +27dBm Tx-power electrical-balance duplexer. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marcello De Matteis, Alessandro Pezzotta, Stefano D'Amico, Andrea Baschirotto A 33-MHz 70dB-SNR super-source-follower-based low-pass analog filter. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jason Silver, Kannan A. Sankaragomathi, Brian P. Otis An ultra-low-voltage all-digital PLL for energy harvesting applications. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shayan Shahramian, Anthony Chan Carusone A 10Gb/s 4.1mW 2-IIR + 1-discrete-tap DFE in 28nm-LP CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shiyuan Zheng, Howard C. Luong A WCDMA/WLAN digital polar transmitter with low-noise ADPLL, wide-band PM/AM modulator and linearized PA in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marco Vigilante, Patrick Reynaert An E-Band low-noise Transformer-Coupled Quadrature VCO in 40 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Enrico Temporiti, Gabriele Minoia, Matteo Repossi, Daniele Baldi, Andrea Ghilioni, Francesco Svelto A 3D-integrated 25Gbps silicon photonics receiver in PIC25G and 65nm CMOS technologies. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Paramartha Indirayanti, Tuba Ayhan, Marian Verhelst, Wim Dehaene, Patrick Reynaert A 60GHz transmitter in 40nm CMOS achieving mm-precision for discrete-carrier localization. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ali Fekri, Mohammad Reza Nabavi, Nikola Radeljic-Jakic, Zu-yao Chang, Michiel A. P. Pertijs, Stoyan N. Nihtianov An eddy-current displacement-to-digital converter based on a ratio-metric delta-sigma ADC. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1André Mansano, Sumit Bagga, Wouter A. Serdijn A 13.56/402 MHz autonomous wireless sensor node with -18.2 dBm sensitivity and temperature monitoring in 0.18 /im CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Abhishek Chowdhary, Alok Kaushik, Sajal Kumar Mandal, Sanjeev Chopra, Tapas Nandy, Vivek Uppal A 8 Gbps blind oversampling CDR with frequency offset compensation over infinite burst. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sanghyun Heo, Hyunggun Ma, Jae Joon Kim, Franklin Bien Dynamic range enhanced readout circuit for a capacitive touch screen panel with current subtraction technique. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Rohan Sehgal, Frank M. L. van der Goes, Klaas Bult A 12b 53 mW 195 MS/s pipeline ADC with 82dB SFDR using split-ADC calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Avishek Biswas, Yildiz Sinangil, Anantha P. Chandrakasan A 28nm FDSOI integrated reconfigurable switched-capacitor based step-up DC-DC converter with 88% peak efficiency. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mohammed Abdulaziz, Markus Törmänen, Henrik Sjöland A 4th order Gm-C filter with 10MHz bandwidth and 39dBm IIP3 in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Michele Dini, Matteo Filippi, Aldo Romani, Marco Tartagni, Valeria Bottarel, Giulio Ricotti A 40 nA/source energy harvesting power converter for multiple and heterogeneous sources. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Wei-Chung Chen, Tzu-Chi Huang, Tsu-Wei Tsai, Ruei-Hong Peng, Kuei-Liang Lin, Ke-Horng Chen, Ying-Hsi Lin, Tsung-Yen Tsai, Chen-Chih Huang, Chao-Cheng Lee, Li-Ren Huang, Chao-Jen Huang, Chung-Chih Hung, Chin-Long Wey, Hsin-Yu Luo Single inductor quad output switching converter with priority-scheduled program for fast transient and unlimited-load range in 40nm CMOS technology. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Annachiara Spagnolo, Bob Verbruggen, Stefano D'Amico, Piet Wambacq A 6.2mW 7b 3.5GS/s time interleaved 2-stage pipelined ADC in 40nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hiroshi Fuketa, Youichi Momiyama, Atsushi Okamoto, Tsuyoshi Sakata, Makoto Takamiya, Takayasu Sakurai An 85-mV input, 50-µs startup fully integrated voltage multiplier with passive clock boost using on-chip transformers for energy harvesting. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yunsup Lee, Andrew Waterman, Rimas Avizienis, Henry Cook, Chen Sun 0003, Vladimir Stojanovic, Krste Asanovic A 45nm 1.3GHz 16.7 double-precision GFLOPS/W RISC-V processor with vector accelerators. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Shin-Hao Chen, Kuei-Liang Lin, Shao Siang Ng, Ke-Horng Chen, Chin-Long Wey, Sheng Kang, Kevin Cheng 0002, Li-Ren Huang, Chao-Jen Huang, Hsin-Yu Luo A Class-D amplifier powered by embedded single-inductor bipolar-output power module with low common noise and dynamic voltage boosting technique. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pier Andrea Francese, Thomas Toifl, Matthias Braendli, Peter Buchmann, Thomas Morf, Marcel A. Kossel, Christian Menolfi, Lukas Kull, Toke Meyer Andersen, Hazar Yueksel A 16 Gb/s receiver with DC wander compensated rail-to-rail AC coupling and passive linear-equalizer in 22 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Xicheng Jiang, Narayan Prasad Ramachandran, Dae Woon Kang, Chee Kiong Chen, Mark Rutherford, Yonghua Cong, David Chang Digitally-assisted analog and analog-assisted digital design techniques for a 28 nm mobile System-on-Chip. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Igor I. Izyumin, Mitchell Kline, Yu-Ching Yeh, Burak Eminoglu, Bernhard E. Boser A 50 µW, 2.1 mdeg/s/√Hz frequency-to-digital converter for frequency-output MEMS gyroscopes. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Harald Kroll, Stefan Zwicky, Benjamin Weber, Christoph Roth, Christian Benkeser, Andreas Peter Burg, Qiuting Huang An evolved EDGE PHY ASIC supporting soft-output equalization and Rx diversity. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sechang Oh, Yoonmyung Lee, Jingcheng Wang, Zhiyoong Foo, Yejoong Kim, David T. Blaauw, Dennis Sylvester Dual-slope capacitance to digital converter integrated in an implantable pressure sensing system. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nicolo Sabatino, Gabriele Minoia, M. Roche, Daniele Baldi, Enrico Temporiti, Andrea Mazzanti A 5th order gm-C low-pass filter with ±3% cut-off frequency accuracy and 220MHz to 3.3GHz tuning-range in 28nm LP CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1 ESSCIRC 2014 - 40th European Solid State Circuits Conference, Venice Lido, Italy, September 22-26, 2014 Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  BibTeX  RDF
1Sudhir Satpathy, Sanu Mathew, Jiangtao Li 0001, Patrick Koeberl, Mark A. Anders 0001, Himanshu Kaul, Gregory K. Chen, Amit Agarwal 0001, Steven Hsu, Ram Krishnamurthy 0001 13fJ/bit probing-resilient 250K PUF array with soft darkbit masking for 1.94% bit-error in 22nm tri-gate CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Benjamin Saft, Eric Schaefer, Andre Jager, Alexander Rolapp, Eckhard Hennig An improved low-power CMOS thyristor-based micro-to-millisecond delay element. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Waclaw Godycki, Bo Sun, Alyssa B. Apsel Part-time resonant switching for light load efficiency improvement of a 3-level fully integrated buck converter. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mark Ingels, Xiaoqiang Zhang 0008, Kuba Raczkowski, Sungwoo Cha, Pieter Palmers, Jan Craninckx A linear 28nm CMOS digital transmitter with 2×12bit up to LO baseband sampling and -58dBc C-IM3. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Gabriele Devita, Alan Chi Wai Wong, Mark Dawkins, Kostas N. Glaros, U. Kiani, Franco Lauria, V. Madaka, Okundu C. Omeni, Johannes Schiff, A. Vasudevan, L. Whitaker, S. Yu, Alison J. Burdett A 5mW multi-standard Bluetooth LE/IEEE 802.15.6 SoC for WBAN applications. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Athanasios Sarafianos, Michiel Steyaert The folding dickson converter: A step towards fully integrated wide input range capacitive DC-DC converters. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Pinar Basak Basyurt, Devrim Yilmaz Aksin, Edoardo Bonizzoni, Franco Maloberti A 490-nA, 43-ppm/°C, sub-0.8-V supply voltage reference. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Mikko Englund, Kim B. Ostman, Olli Viitala, Mikko Kaltiokallio, Kari Stadius, Jussi Ryynänen, Kimmo Koli A 2.5-GHz 4.2-dB NF direct ΔΣ receiver with a frequency-translating integrator. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yu Pei 0002, Ying Chen, Domine M. W. Leenaerts, Bianca Slaats, A. Zamanifekri A 30/35GHz phased array transmitter front-end with >+14dBm Psat and 10° phase/5-bit amplitude resolution for advanced beamforming. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Clement Jany, Alexandre Siligaris, Jose-Luis Gonzalez Jimenez, Carolynn Bernier, Pierre Vincent, Philippe Ferrari A novel ultra-low phase noise, programmable frequency multiplier-by-30 architecture. Application to 60-GHz frequency generation. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manuel Suarez, Víctor M. Brea 0001, Jorge Fernández-Berni, Ricardo Carmona-Galán, Diego Cabello, Ángel Rodríguez-Vázquez A 26.5 nJ/px 2.64 Mpx/s CMOS vision sensor for Gaussian pyramid extraction. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ilkka Nissinen, Jan Nissinen, Jouni Holma, Juha Kostamovaara A TDC-based 4×128 CMOS SPAD array for time-gated Raman spectroscopy. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Long Chen 0004, Arindam Sanyal, Ji Ma, Nan Sun 0001 A 24-µW 11-bit 1-MS/s SAR ADC with a bidirectional single-side switching technique. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Nima Maghari, Un-Ku Moon Emerging analog-to-digital converters. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Oskar Andersson, Babak Mohammadi, Pascal Andreas Meinerzhagen, Joachim Neves Rodrigues A 35 fJ/bit-access sub-VT memory using a dual-bit area-optimized standard-cell in 65 nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Toshihiro Ozaki, Tetsuya Hirose, Takahiro Nagai, Keishi Tsubaki, Nobutaka Kuroki, Masahiro Numa A 0.21-V minimum input, 73.6% maximum efficiency, fully integrated voltage boost converter with MPPT for low-voltage energy harvesters. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Dongju Lee, Minjae Lee A 3 kHz flicker noise corner, odd-phase active mixer for direct conversion receivers. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Hanspeter Schmid, Alexander Huber, Dirk Sutterlin, Werner Tanner A highly sensitive frontend IC for very robust capacitive vortex flowmeter sensors. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Luke Wang, Qiwei Wang, Anthony Chan Carusone Time interleaved C-2C SAR ADC with background timing skew calibration in 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ulrich Bihr, Jens Anders, J. Rickert, Martin Schuettler, A. Moeller, K. H. Boven, Joachim Becker, Maurits Ortmanns A neural recorder IC with HV input multiplexer for voltage and current stimulation with 18V compliance. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Chi-Cheng Ju, Tsu-Ming Liu, Yung-Chang Chang, Chih-Ming Wang, Hue-Min Lin, Chia-Yun Cheng, Chun-Chia Chen, Min-Hao Chiu, Sheng-Jen Wang, Ping Chao, Meng-Jye Hu, Fu-Chun Yeh, Shun-Hsiang Chuang, Hsiu-Yi Lin, Ming-Long Wu, Che-Hong Chen, Chung-Hung Tsai A 0.2nJ/pixel 4K 60fps Main-10 HEVC decoder with multi-format capabilities for UHD-TV applications. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Manthena Vamshi Krishna, Anil Jain, Nasir Abdul Quadir, Paul D. Townsend, Peter Ossieur A 1V 2mW 17GHz multi-modulus frequency divider based on TSPC logic using 65nm CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Kentaro Yoshioka, Hiroki Ishikuro A 13b SAR ADC with eye-opening VCO based comparator. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Jung-Woo Ha 0002, Bai-Sun Kong, Jung-Hoon Chun, Byeong-Ha Park A fast response integrated current-sensing circuit for peak-current-mode buck regulator. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Yusuf Haque, Donald E. Lewis, Rex Hales, Ryan J. Kier, Tracy Johancsik, Paul T. Watkins, William Picken, Marcellus Harper, Shyam Dujari Time interleaved 16 bit, 250MS/s ADC using a hybrid voltage/current mode architecture with foreground calibration. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marko Aleksic A 3.2-GHz 1.3-mW ILO phase rotator for burst-mode mobile memory I/O in 28-nm low-leakage CMOS. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Benjamin P. Hershberg, Kuba Raczkowski, Kristof Vaesen, Jan Craninckx A 9.1-12.7 GHz VCO in 28nm CMOS with a bottom-pinning bias technique for digital varactor stress reduction. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Fabio Marchio, Boris Vittorelli, Roberto Colombo Automotive electronics: Application & technology megatrends. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Stefano Perticaroli, Fabrizio Palma A robust start-up Class-C CMOS VCO based on a common mode low frequency feedback loop. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Aravind Tharayil Narayanan, Kento Kimura, Wei Deng 0001, Kenichi Okada, Akira Matsuzawa A pulse-driven LC-VCO with a figure-of-merit of -192dBc/Hz. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Marco Sautto, Davide Leone, Alessandro Savoia, Davide Ghisu, Fabio Quaglia, Giosuè Caliano, Andrea Mazzanti A CMUT transceiver front-end with 100-V TX driver and 1-mW low-noise capacitive feedback RX amplifier in BCD-SOI technology. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Vincent Binet, Francois Amiard, Emmanuel Allier, Simon Valcin, Angelo Nagari A fully integrated Class-D amplifier in 40nm CMOS with dynamic cascode bias and load current sensing. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Ilter Özkaya, Cagri Gurleyuk, Atilim Ergul, Arda Akkaya, Devrim Yilmaz Aksin A 50V input range 14bit 250kS/s ADC with 97.8dB SFDR and 80.2dB SNR. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Tzu-Chien Hsueh, Frank O'Mahony, Mozhgan Mansuri, Bryan Casper An on-die all-digital power supply noise analyzer with enhanced spectrum measurements. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Sehat Sutardja Slowing of Moore's law signals the beginning of Smart Everything. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
1Carl Bryant, Henrik Sjöland A 2.45GHz, 50uW wake-up receiver front-end with -88dBm sensitivity and 250kbps data rate. Search on Bibsonomy ESSCIRC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2273 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license