|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 30221 occurrences of 10009 keywords
|
|
|
Results
Found 54671 publication records. Showing 54671 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
11 | Jungrae Kim, Ki-Young Jang, Hyunseung Choo, Won Kim 0001 |
Energy Efficient LEACH with TCP for Wireless Sensor Networks. |
ICCSA (2) |
2007 |
DBLP DOI BibTeX RDF |
Sensor network, energy efficiency, TCP, LEACH |
11 | Yu-Kai Huang, Sze-Wei Huang, Ai-Chun Pang |
An Energy-Efficient MAC Design for IEEE 802.15.4-Based Wireless Sensor Networks. |
EUC Workshops |
2007 |
DBLP DOI BibTeX RDF |
Low Rate Wireless Personal Area Networks (LR-WPANs), Wireless Sensor Networks, Energy Efficiency, IEEE 802.15.4, Power Saving |
11 | Alex Gontmakher, Avi Mendelson, Assaf Schuster |
Using fine grain multithreading for energy efficient computing. |
PPoPP |
2007 |
DBLP DOI BibTeX RDF |
register sharing, energy efficiency, fine grain parallelization |
11 | Mehdi Hakimi, Seyyed Masoud Moghaddas Tafreshi, M. R. Rajati |
Unit Sizing of a Stand-Alone Hybrid Power System Using Model-Free Optimization. |
GrC |
2007 |
DBLP DOI BibTeX RDF |
reformer, hybrid power system, optimal sizing, particle swarm optimization I. NOMENCLATURE P conv wg - Power delivered from wind turbines to converter (kw) P el wg - Power delivered from wind turbines to electrolyzer (kw) P k el tan - Power delive, K. N. Toosi University of Technology, Tehran-Iran (e-mail: sm_hakimi@yahoo.com). S.M.M.Tafreshi is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: tafreshi@eetd.kntu.ac.ir). M. R. Rajati is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran-Iran (e-mail: mohammadreza.rajati@gmail.com). P wt Power generated by wind turbines (kw) Pload Load power (kw) E k tan Stored energy in the hydrogen tank (kwh) fc, el, conv Efficiency of fuel cell, electrolyzer, converter NPCindex Net present cost (the index shows the corresponding component) ($) S Single-payment present worth factor R Life time of project (year) L Life time of each components (year) Ir Inter, fuel cell, wind turbine |
11 | Finn R. Førsund, Roberto Zanola |
DEA meets Picasso: The impact of auction houses on the hammer price. |
Ann. Oper. Res. |
2006 |
DBLP DOI BibTeX RDF |
Picasso painting, Auction house, Efficiency, Performance measure, DEA |
11 | Jie Wu 0001, Fei Dai 0001 |
Virtual Backbone Construction in MANETs Using Adjustable Transmission Ranges. |
IEEE Trans. Mob. Comput. |
2006 |
DBLP DOI BibTeX RDF |
Adjustable transmission range, clustering, mobile ad hoc networks (MANETs), energy efficiency, broadcasting, connected dominating set (CDS) |
11 | Federica Mandreoli, Riccardo Martoglia, Paolo Tiberio |
EXTRA: a system for example-based translation assistance. |
Mach. Transl. |
2006 |
DBLP DOI BibTeX RDF |
Textual data management, Text search and retrieval, Effectiveness and efficiency of translation assistance, Translation memory |
11 | Dharmender Singh Kushwaha, Arun Kumar Misra |
A modified cognitive information complexity measure of software. |
ACM SIGSOFT Softw. Eng. Notes |
2006 |
DBLP DOI BibTeX RDF |
cognitive information complexity unit, complexity information complexity, information coding efficiency, information unit, weighted information count, cognitive informatics |
11 | Chen Wang 0010, Li Xiao 0001, Yunhao Liu 0001, Pei Zheng |
DiCAS: An Efficient Distributed Caching Mechanism for P2P Systems. |
IEEE Trans. Parallel Distributed Syst. |
2006 |
DBLP DOI BibTeX RDF |
query response, distributed caching and adaptive search, Peer-to-peer, flooding, search efficiency |
11 | George Dimitrakopoulos 0001, Klaus Moessner, Clemens Kloeck, David Grandblaise, Sophie Gault, Oriol Sallent, Kostas Tsagkaris, Panagiotis Demestichas |
Adaptive Resource Management Platform for Reconfigurable Networks. |
Mob. Networks Appl. |
2006 |
DBLP DOI BibTeX RDF |
advanced spectrum management (ASM), dynamic network planning and management (DNPM), hybrid functional architecture for radio and spectrum resource efficiency, joint radio resource management (JRRM) |
11 | James M. Calvin, Peter W. Glynn, Marvin K. Nakayama |
The semi-regenerative method of simulation output analysis. |
ACM Trans. Model. Comput. Simul. |
2006 |
DBLP DOI BibTeX RDF |
bias reduction, importance sampling, Variance reduction, regenerative processes, efficiency improvement |
11 | Bogdan Carbunar, Ananth Grama, Jan Vitek, Octavian Carbunar |
Redundancy and coverage detection in sensor networks. |
ACM Trans. Sens. Networks |
2006 |
DBLP DOI BibTeX RDF |
coverage boundary, Sensor networks, energy efficiency, coverage, redundancy elimination |
11 | Ramanan Subramanian, Faramarz Fekri |
Sleep scheduling and lifetime maximization in sensor networks: fundamental limits and optimal solutions. |
IPSN |
2006 |
DBLP DOI BibTeX RDF |
efficient deployment, sensor networks, energy efficiency, power management, MAC, lifetime, sleep scheduling, random deployment |
11 | Aris Anagnostopoulos, Andrei Z. Broder, Kunal Punera |
Effective and efficient classification on a search-engine model. |
CIKM |
2006 |
DBLP DOI BibTeX RDF |
query efficiency, feature selection, search engine, text classification, WAND |
11 | Henoc Agbota, Mike Hazas |
Responsive and energy-efficient sensor networking for real time location tracking. |
SenSys |
2006 |
DBLP DOI BibTeX RDF |
sensor network, energy efficiency, localization, MAC protocol, ultrasound |
11 | Eugene V. Shilnikov |
Parallel Program Complex for 3D Unsteady Flow Simulation. |
PARA |
2006 |
DBLP DOI BibTeX RDF |
Unsteady viscous gas flows, Parallel program complex, Kinetically consistent finite difference schemes, Parallelization efficiency, Turbulent flows |
11 | ZhenYang Xu, Guangsheng Zhang, Jie Qin, Wenhua Dou |
Single-Actor Selection Algorithms for Wireless Sensor and Actor Networks. |
WASA |
2006 |
DBLP DOI BibTeX RDF |
Hop-Bound, Energy Efficiency, Real-Time Communications, Wireless Sensor and Actor Networks |
11 | Idit Keidar, Roie Melamed |
Evaluating unstructured peer-to-peer lookup overlays. |
SAC |
2006 |
DBLP DOI BibTeX RDF |
lookup systems, unstructured peer-to-peer overlays, evaluation, metrics, graphs, dependability, efficiency |
11 | Giovanni Di Crescenzo, Maria Striki, John S. Baras |
Modeling key agreement in multi-hop ad hoc networks. |
IWCMC |
2006 |
DBLP DOI BibTeX RDF |
topology driven protocols, performance evaluation, optimization, approximation algorithms, efficiency, group key agreement |
11 | Wassim El-Hajj, Dionysios Kountanis, Ala I. Al-Fuqaha, Hani Harbi |
Optimal hierarchical energy efficient design for MANETs. |
IWCMC |
2006 |
DBLP DOI BibTeX RDF |
mobile wireless ad-hoc network, energy efficiency, integer linear programming, hierarchical design |
11 | Biswanath Dey, Sukumar Nandi |
Distributed Location and Lifetime Biased Clustering for Large Scale Wireless Sensor Network. |
ICDCN |
2006 |
DBLP DOI BibTeX RDF |
Clustering, Sensor Network, Energy efficiency, Network lifetime |
11 | António Grilo 0001, Mário Macedo, Mário Serafim Nunes |
An Energy-Efficient Low-Latency Multi-sink MAC Protocol for Alarm-Driven Wireless Sensor Networks. |
EuroNGI Workshop |
2006 |
DBLP DOI BibTeX RDF |
Early Warning and Tracking, Scheduled Channel Polling, Wireless Sensor Networks, Energy-Efficiency, MAC |
11 | Sheree Kornkven, Jared Hall |
Enhancing campus IT services and student employee development through student technology services. |
SIGUCCS |
2006 |
DBLP DOI BibTeX RDF |
student employee management, student technology services, training, efficiency, productivity, professional development, empowerment |
11 | Dajin Wang |
A Graph-Center-Based Scheme for Energy-Efficient Data Collection in Wireless Sensor Networks. |
MSN |
2006 |
DBLP DOI BibTeX RDF |
Graph center, Wireless Sensor Networks, Wireless networks, Energy efficiency, Hierarchical structures |
11 | Nahdia Tabassum, Quazi Ehsanul Kabir Mamun, Yoshiyori Urano |
COSEN: A Chain Oriented Sensor Network for Efficient Data Collection. |
ITNG |
2006 |
DBLP DOI BibTeX RDF |
energy efficiency and latency, Sensor network, data collection, data transmission |
11 | Walter de Abreu Cybis |
UseMonitor: suivre l'évolution de l'utilisabilité des sites web à partir de l'analyse des fichiers de journalisation. |
IHM |
2006 |
DBLP DOI BibTeX RDF |
efficiency measures, web sites usability, log file analysis |
11 | ZhenYang Xu, Jie Qin, Guangsheng Zhang, Wenhua Dou |
Relay-Bounded Single-Actor Selection Algorithms for Wireless Sensor and Actor Networks. |
ICNC (2) |
2006 |
DBLP DOI BibTeX RDF |
Relay-Bound, Energy Efficiency, Real-Time Communications, Wireless Sensor and Actor Networks |
11 | Khaled Matrouk, Björn Landfeldt |
Equalizing Sensor Energy and Maximising Sensor Network Lifespan Using RETT. |
ALGOSENSORS |
2006 |
DBLP DOI BibTeX RDF |
Wireless sensor network, Energy efficiency, Lifetime, Clustering method |
11 | Periklis Liaskovitis, Curt Schurgers |
A Distortion-Aware Scheduling Approach for Wireless Sensor Networks. |
DCOSS |
2006 |
DBLP DOI BibTeX RDF |
spatial random process, energy efficiency, distortion, lifetime, irregular sampling |
11 | Isamu Teranishi, Kazue Sako |
k-Times Anonymous Authentication with a Constant Proving Cost. |
Public Key Cryptography |
2006 |
DBLP DOI BibTeX RDF |
k-times anonymous authentication, efficiency, public verifiability |
11 | Kumara Sastry, Cláudio F. Lima, David E. Goldberg |
Evaluation relaxation using substructural information and linear estimation. |
GECCO |
2006 |
DBLP DOI BibTeX RDF |
evaluation relaxation, fitness surrogates, estimation of distribution algorithms, linear regression, speed-up, efficiency enhancement, extended compact genetic algorithms |
11 | Carmen M. Yago, Pedro M. Ruiz |
Energy-efficient multicast with directional antennae and localized tree reconfiguration. |
MSWiM |
2006 |
DBLP DOI BibTeX RDF |
ad hoc networks, multicast, energy efficiency, routing protocol, directional antennae |
11 | Arpita Patra, Ashish Choudhary, K. Srinathan, C. Pandu Rangan |
Constant Phase Bit Optimal Protocols for Perfectly Reliable and Secure Message Transmission. |
INDOCRYPT |
2006 |
DBLP DOI BibTeX RDF |
Reliable and Secure Communication, Information Theoretic Security, Communication Efficiency |
11 | Kyung Tae Kim, Hyunsoo Kim, Hee Yong Youn |
Optimized Clustering for Maximal Lifetime of Wireless Sensor Networks. |
EUC Workshops |
2006 |
DBLP DOI BibTeX RDF |
optimized clustering, wireless sensor networks, energy-efficiency, network lifetime, Cluster-head |
11 | Poyuan Li, Soon-Gyu Jeong, Sang-Jo Yoo |
A Sensing Resolution-Based Energy Efficient Communication Protocol for Wireless Sensor Networks. |
EUC |
2006 |
DBLP DOI BibTeX RDF |
Sensing Resolution, Wireless Sensor Networks, Energy Efficiency, Grouping, Network Lifetime |
11 | Davide Appello |
Session Abstract. |
VTS |
2006 |
DBLP DOI BibTeX RDF |
Probing technologies and probe cards, reduced pin count testing, multi-site efficiency, reconfigurable test resources and test resource partitioning, test generation and diagnosis, built-in and built-off DFT, test economics |
11 | Achilleas Zapranis |
Testing the Random Walk Hypothesis with Neural Networks. |
ICANN (2) |
2006 |
DBLP DOI BibTeX RDF |
stock index, neural networks, random walk, technical analysis, trading strategies, market efficiency |
11 | Steven Garcia, Andrew Turpin |
Efficient Query Evaluation Through Access-Reordering. |
AIRS |
2006 |
DBLP DOI BibTeX RDF |
index organisation, access-ordering, Search engines, efficiency |
11 | Tokuro Matsuo, Takayuki Ito 0001, Robert W. Day, Toramatsu Shintani |
A robust combinatorial auction mechanism against shill bidders. |
AAMAS |
2006 |
DBLP DOI BibTeX RDF |
pareto efficiency, shill bids, combinatorial auctions, incentive compatibility, computational mechanism design, vickrey-clarke-groves mechanism |
11 | Gabriel Catalin Balan, Sean Luke |
History-based traffic control. |
AAMAS |
2006 |
DBLP DOI BibTeX RDF |
efficiency-fairness tradeoff, traffic light controller, urban traffic control, fairness |
11 | Debdeep Mukhopadhyay, Dipanwita Roy Chowdhury |
Generation of Expander Graphs Using Cellular Automata and Its Applications to Cryptography. |
ACRI |
2006 |
DBLP DOI BibTeX RDF |
Security, Efficiency, Cellular Automata, Expander Graphs, One-way functions |
11 | Gaspar Mora, José Flich, José Duato, Pedro López 0001, Elvira Baydal, Olav Lysne |
Towards an efficient switch architecture for high-radix switches. |
ANCS |
2006 |
DBLP DOI BibTeX RDF |
arbiter efficiency, partitioned crossbar, switch organization |
11 | Zach Shelby, Carlos A. Pomalaza-Raez, Heikki Karvonen, Jussi Haapola |
Energy Optimization in Multihop Wireless Embedded and Sensor Networks. |
Int. J. Wirel. Inf. Networks |
2005 |
DBLP DOI BibTeX RDF |
sensor networks, routing, energy efficiency, embedded, Multihop |
11 | Liang Zhao, Qilian Liang |
Distributed and Energy Efficient Self-Organization for On-Off Wireless Sensor Networks. |
Int. J. Wirel. Inf. Networks |
2005 |
DBLP DOI BibTeX RDF |
clustering, Wireless sensor networks, energy efficiency |
11 | Padmini Srinivasan, Filippo Menczer, Gautam Pant |
A General Evaluation Framework for Topical Crawlers. |
Inf. Retr. |
2005 |
DBLP DOI BibTeX RDF |
evaluation, efficiency, tasks, precision, recall, Web crawlers, topics |
11 | G. A. Dimas |
Drivers of performance in insurance. |
Oper. Res. |
2005 |
DBLP DOI BibTeX RDF |
Systems Efficiency, Data Envelopment Analysis, Insurance |
11 | Himanshu Gupta 0001, Vishnu Navda, Samir R. Das, Vishal Chowdhary |
Efficient gathering of correlated data in sensor networks. |
MobiHoc |
2005 |
DBLP DOI BibTeX RDF |
correlated data, energy efficiency, topology control |
11 | Saurabh Mehta, Ju-A Lee, Jae-Hyun Kim |
IS-MAC based flooding protocol for sensor networks. |
PE-WASUN |
2005 |
DBLP DOI BibTeX RDF |
sensor networks, energy-efficiency, routing protocol, MAC protocol |
11 | Andrew Ka Ho Leung, Yu-Kwong Kwok |
An Efficient and Practical Greedy Algorithm for Server-Peer Selection in Wireless Peer-to-Peer File Sharing Networks. |
MSN |
2005 |
DBLP DOI BibTeX RDF |
wireless networking, energy efficiency, fairness, greedy algorithm, file sharing, P2P systems |
11 | Eun-Jun Yoon, Eun-Kyung Ryu, Kee-Young Yoo |
Optimized Initiation Phases for Anonymous Auction Protocols. |
Human.Society@Internet |
2005 |
DBLP DOI BibTeX RDF |
Auction protocol, Initiation protocol, Security, Anonymity, Efficiency |
11 | Marina Bitsaki, George D. Stamoulis, Costas Courcoubetis |
A new strategy for bidding in the network-wide progressive second price auction for bandwidth. |
CoNEXT |
2005 |
DBLP DOI BibTeX RDF |
network, efficiency, auctions, bandwidth allocation |
11 | Dorottya Vass, Attila Vidács |
Positioning mobile base station to prolong wireless sensor network lifetime. |
CoNEXT |
2005 |
DBLP DOI BibTeX RDF |
mobile base station, sensor network, energy efficiency |
11 | J. Verkaik, Cornelis Vuik, B. D. Paarhuis, A. Twerda |
The Deflation Accelerated Schwarz Method for CFD. |
International Conference on Computational Science (1) |
2005 |
DBLP DOI BibTeX RDF |
Krylov subspace acceleration, efficiency, computational fluid dynamics, domain decomposition, deflation |
11 | Trevor Strohman, Howard R. Turtle, W. Bruce Croft |
Optimization strategies for complex queries. |
SIGIR |
2005 |
DBLP DOI BibTeX RDF |
query processing, indexing, efficiency |
11 | Mei Yang, Jianping Wang 0001, Zhenguo Gao, Yingtao Jiang, Yoohwan Kim |
Coordinated Robust Routing by Dual Cluster Heads in Layered Wireless Sensor Networks. |
ISPAN |
2005 |
DBLP DOI BibTeX RDF |
robust routing, cluster, Wireless sensor networks, fault tolerance, energy efficiency |
11 | Kun Peng, Colin Boyd, Ed Dawson, Byoungcheon Lee |
Ciphertext Comparison, a New Solution to the Millionaire Problem. |
ICICS |
2005 |
DBLP DOI BibTeX RDF |
Ciphertext comparison, the millionaire problem, efficiency |
11 | John Wei, Chris Rowen |
Implementing low-power configurable processors: practical options and tradeoffs. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
PVT (process, voltage, temperature), configurable embedded processor, dynamic power efficiency, scaled VDD, low-power, leakage power, SOC (system on chip), dynamic power |
11 | Predrag R. Jelenkovic, Avishai Mandelbaum, Petar Momcilovic |
Heavy Traffic Limits for Queues with Many Deterministic Servers. |
Queueing Syst. Theory Appl. |
2004 |
DBLP DOI BibTeX RDF |
GI/D/N, Quality and Efficiency Driven (QED) or Halfin-Whitt regime, telephone call or contact centers, Gaussian random walk, Spitzer''s identities, heavy-traffic, economies of scale, multi-server queue, deterministic service time |
11 | Pierpaolo Bergamo, Alessandra Giovanardi, Andrea Travasoni, Daniela Maniezzo, Gianluca Mazzini, Michele Zorzi |
Distributed Power Control for Energy Efficient Routing in Ad Hoc Networks. |
Wirel. Networks |
2004 |
DBLP DOI BibTeX RDF |
ad hoc networks, energy efficiency, power control |
11 | Simon Baker, Iain A. Matthews |
Lucas-Kanade 20 Years On: A Unifying Framework. |
Int. J. Comput. Vis. |
2004 |
DBLP DOI BibTeX RDF |
Lucas-Kanade, a unifying framework, additive vs. compositional algorithms, forwards vs. inverse algorithms, the inverse compositional algorithm, Gauss-Newton, Newton, efficiency, image alignment, Levenberg-Marquardt, steepest descent |
11 | Filippo Menczer, Gautam Pant, Padmini Srinivasan |
Topical web crawlers: Evaluating adaptive algorithms. |
ACM Trans. Internet Techn. |
2004 |
DBLP DOI BibTeX RDF |
evaluation, reinforcement learning, Efficiency, evolution, exploration, exploitation, topical crawlers |
11 | Marina Bitsaki, George D. Stamoulis, Costas Courcoubetis |
An Efficient Auction Mechanism for Hierarchically Structured Bandwidth Markets. |
QofIS |
2004 |
DBLP DOI BibTeX RDF |
bandwidth markets, efficiency, Auctions |
11 | Michael P. O'Mahony, Neil J. Hurley, Guenole C. M. Silvestre |
Utility-based neighbourhood formation for efficient and robust collaborative filtering. |
EC |
2004 |
DBLP DOI BibTeX RDF |
automated collaborative filtering, neighbourhood formation, robustness, efficiency, performance measures, utility |
11 | Lin Li 0002, Vijay Degalahal, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin |
Soft error and energy consumption interactions: a data cache perspective. |
ISLPED |
2004 |
DBLP DOI BibTeX RDF |
energy-efficiency, soft error, data cache |
11 | Kun Peng, Colin Boyd, Ed Dawson, Byoungcheon Lee |
An Efficient and Verifiable Solution to the Millionaire Problem. |
ICISC |
2004 |
DBLP DOI BibTeX RDF |
millionaire problem, zero test, batch equation, efficiency, verifiability |
11 | S. Gallo, Laura Galluccio, Giacomo Morabito, Sergio Palazzo |
Rapid and energy efficient neighbor discovery for spontaneous networks. |
MSWiM |
2004 |
DBLP DOI BibTeX RDF |
ad-hoc networks, energy efficiency, neighbor discovery |
11 | Jaesung Park, Beomjoon Kim, Yong-Hoon Choi |
A Performance Evaluation of PPP Multiplexing Within UTRAN. |
EUC |
2004 |
DBLP DOI BibTeX RDF |
PPP Multiplexing, IP transport, Multiplexing Delay, Efficiency, UTRAN |
11 | Tim Kogel, Heinrich Meyr |
Heterogeneous MP-SoC: the solution to energy-efficient signal processing. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
energy efficiency, network-on-chip, signal processing, design space exploration, MP-SoC |
11 | Takenori Koushiro, Toshinori Sato, Itsujiro Arita |
A trace-level value predictor for Contrail processors. |
SIGARCH Comput. Archit. News |
2003 |
DBLP DOI BibTeX RDF |
traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors |
11 | Robert C. Chalmers, Kevin C. Almeroth |
On the topology of multicast trees. |
IEEE/ACM Trans. Netw. |
2003 |
DBLP DOI BibTeX RDF |
modeling, multicast, efficiency, topology |
11 | Anastassios Michail, Anthony Ephremides |
Energy-Efficient Routing for Connection-Oriented Traffic in Wireless Ad-Hoc Networks. |
Mob. Networks Appl. |
2003 |
DBLP DOI BibTeX RDF |
scheduling, routing, energy efficiency, wireless ad-hoc networks |
11 | Daniel C. Glaser, Roger Tan, John F. Canny, Ellen Yi-Luen Do |
Developing Architectural Lighting Representations. |
INFOVIS |
2003 |
DBLP DOI BibTeX RDF |
ethnographic fieldwork, architectural lighting design, information visualization, energy efficiency, qualitative analysis |
11 | Christian Kurmann, Thomas Stricker |
Zero-Copy for CORBA - Efficient Communication for Distributed Object Middleware. |
HPDC |
2003 |
DBLP DOI BibTeX RDF |
Distributed Object Middleware (DOM), Zero-Copy Communication, Communication Efficiency |
11 | Yuanxiang Li, Xiaoqing Ding, Chew Lim Tan |
Combining character-based bigrams with word-based bigrams in contextual postprocessing for Chinese script recognition. |
ACM Trans. Asian Lang. Inf. Process. |
2002 |
DBLP DOI BibTeX RDF |
contextual post-processing, efficiency of candidate set, forward-backward search, statistical language model, Chinese character recognition |
11 | Wlodzimierz Ogryczak, Mariusz Zawadzki |
Conditional Median: A Parametric Solution Concept for Location Problems. |
Ann. Oper. Res. |
2002 |
DBLP DOI BibTeX RDF |
cent-dian, k-centrum, conditional median, efficiency, location, median, center, multiple criteria, equity |
11 | Michelle Schatzman |
Toward Non Commutative Numerical Analysis: High Order Integration in Time. |
J. Sci. Comput. |
2002 |
DBLP DOI BibTeX RDF |
high precision, preconditioning, Runge-Kutta methods, time integration, numerical efficiency |
11 | Tomás Plachetka |
(Quasi-) Thread-Safe PVM and (Quasi-) Thread-Safe MPI without Active Polling. |
PVM/MPI |
2002 |
DBLP DOI BibTeX RDF |
thread-safety, MPI, efficiency, latency, PVM, polling |
11 | Yaw-Ling Lin, Tao Jiang 0001, Kun-Mao Chao |
Efficient Algorithms for Locating the Length-Constrained Heaviest Segments, with Applications to Biomolecular Sequence Analysis. |
MFCS |
2002 |
DBLP DOI BibTeX RDF |
maximum consecutive subsequence, length constraint, biomolecular sequence analysis, ungapped local alignment, Algorithm, efficiency |
11 | Carsten Trinitis, Martin Schulz 0001, Wolfgang Karl |
Boosting the Performance of Electromagnetic Simulations on a PC-Cluster. |
PARELEC |
2002 |
DBLP DOI BibTeX RDF |
Electric field simulation, High voltage engineering, SCI, Parallel Efficiency, Commodity clusters |
11 | Ingrid Verbauwhede, M.-C. Frank Chang |
Reconfigurable interconnect for next generation systems. |
SLIP |
2002 |
DBLP DOI BibTeX RDF |
architectures, reconfiguration, interconnect, design methods, power efficiency |
11 | Victor V. Zyuban, Philip N. Strenski |
Unified methodology for resolving power-performance tradeoffs at the microarchitectural and circuit levels. |
ISLPED |
2002 |
DBLP DOI BibTeX RDF |
hardware intensity, energy efficiency, metric, power, energy |
11 | Eikoh Chida, Yosuke Kasai, Masahiro Mambo, Hiroki Shizuya |
Spending Offline Divisible Coins with Combining Capability. |
INDOCRYPT |
2002 |
DBLP DOI BibTeX RDF |
Offline divisible coins, Combining capability, Combined coin, Overspending prevention, Anonymity, Efficiency |
11 | Xiang-Yang Li 0001, Peng-Jun Wan, Yu Wang 0003, Ophir Frieder |
Sparse Power Efficient Topology for Wireless Networks. |
HICSS |
2002 |
DBLP DOI BibTeX RDF |
Yao structure, wireless networks, network topology, power efficiency, sparse spanner |
11 | Guozhen Zhang, Zixue Cheng, Tongjun Huang, Aiguo He, Akio Koyama |
Design of an Effective Learning Method SQ3R Based Distance Learning System. |
CW |
2002 |
DBLP DOI BibTeX RDF |
WWW based learning, edu-cational psychology, study method, learning motivation, learning efficiency, SQ3R, distance learning, learning tools |
11 | Kanishka Lahiri, Sujit Dey, Anand Raghunathan |
Communication architecture based power management for battery efficient system design. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
battery efficiency, embedded systems, low power design, power management, communication architectures |
11 | Carla-Fabiana Chiasserini, Pavan Nuggehalli, Vikram Srinivasan |
Energy-efficient communication protocols. |
DAC |
2002 |
DBLP DOI BibTeX RDF |
battery charge recovery, wireless networks, energy efficiency |
11 | Wai-Sum Lin, Rynson W. H. Lau, Kai Hwang 0001, Xiaola Lin, Paul Y. S. Cheung |
Adaptive Parallel Rendering on Multiprocessors and Workstation Clusters. |
IEEE Trans. Parallel Distributed Syst. |
2001 |
DBLP DOI BibTeX RDF |
polygon rasterization, MPI programming, speedup and efficiency and scalable performance, load balancing, Computer graphics, symmetric multiprocessors, parallel rendering, cluster of workstations, supersampling |
11 | Takafumi Ienaga, Katsuya Matsunaga, Kazunori Shidoji, Kazuaki Goshi, Yuji Matsuki, Hiroki Nagata |
Stereoscopic video system with embedded high spatial resolution images using two channels for transmission. |
VRST |
2001 |
DBLP DOI BibTeX RDF |
Q stereoscopic video system, compound image, operational efficiency, temporal resolution, teleoperation |
11 | Lihao Xu |
Efficient and scalable on-demand data streaming using UEP codes. |
ACM Multimedia |
2001 |
DBLP DOI BibTeX RDF |
UEP code, on-demand streaming data, scalability, multicast, efficiency |
11 | Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer |
A new framework for static timing analysis, incremental timing refinement, and timing simulation. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
incremental timing refinement, signal arrival, target fault, test generation efficiency, logic testing, delays, timing, test generation, integrated circuit testing, computation, automatic test pattern generation, ATPG, static timing analysis, delay model, timing simulation |
11 | Der-Cheng Huang, Wen-Ben Jone |
An efficient parallel transparent diagnostic BIST. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
parallel transparent diagnostic BIST, built-in self-diagnosis method, multiple embedded memory arrays, transparent diagnostic interface, redundant read/write/shift operations, march algorithm, TDiagRSMarch algorithm, low hardware overhead, test time reduction, diagnostic efficiency, parallel algorithms, VLSI, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic testing, test coverage, integrated memory circuits |
11 | Marie-Lise Flottes, Christian Landrault, A. Petitqueux |
Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. |
Asian Test Symposium |
2000 |
DBLP DOI BibTeX RDF |
sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset |
11 | Alessandro Bianchi, Giuseppe Visaggio, Anna Rita Fasolino |
An Exploratory Case Study of the Maintenance Effectiveness of Traceability Models. |
IWPC |
2000 |
DBLP DOI BibTeX RDF |
maintenance efficiency, object-oriented, traceability, impact analysis |
11 | Xiangshi Ren, Gao Zhang, Guozhong Dai |
An Experimental Study of Input Modes for Multimodal Human-Computer Interaction. |
ICMI |
2000 |
DBLP DOI BibTeX RDF |
mode combination, map systems, interactive efficiency, Multimodal interface, interface evaluation, pen-based input, CAD systems |
11 | Guang Yang, Ivan Tomek |
Team Lab: A Collaborative Environment for Teamwork. |
CRIWG |
2000 |
DBLP DOI BibTeX RDF |
Team Lab, geographical separation, code development, concurrent programming activity, central code repository, software development, efficiency, programming environments, quality, productivity, collaborative virtual environment, teamwork, collaborative environment, client-server application, software development teams |
11 | Heimo H. Adelsberger, Wolfram Conen |
Economic Coordination Mechanisms for Holonic Multi Agent Systems. |
DEXA Workshops |
2000 |
DBLP DOI BibTeX RDF |
economic coordination mechanisms, worth-oriented domains, transferable utility, self-interest, economic efficiency, surplus distribution, multi-agent systems, resource allocation, quality assessment, equilibrium, manufacturing systems, holonic multi-agent systems |
11 | Vassilios Tsaoussidis, Hussein G. Badr |
TCP-Probing: Towards an Error Control Schema with Energy and Throughput Performance Gains. |
ICNP |
2000 |
DBLP DOI BibTeX RDF |
throughput performance gain, TCP-probing, battery-powered devices, service characteristics, standard TCP, probing mechanism, immediate recovery strategy, wired/wireless channels, TCP header, Tahoe, New Reno, energy performance, wireless networks, mobile devices, energy efficiency, congestion control, computer networks, transport protocols, communication protocols, error correction, energy conservation, error control, telecommunication congestion control, telecommunication standards, network environments, Reno, wired networks |
11 | Satish Kumar, Cengiz Alaettinoglu, Deborah Estrin |
SCalable Object-tracking through Unattended Techniques (SCOUT). |
ICNP |
2000 |
DBLP DOI BibTeX RDF |
radio tracking, scalable object-tracking through unattended techniques, SCOUT, scalable object location service, small networked devices, self-configuring approach, unattended approach, object location service, SCOUT-AGG, SCOUT-MAP, relative efficiency, update rate, performance deterioration, load balancing, specificity, query, packet radio networks, land mobile radio, hierarchical approach |
11 | Danilo Beuche, Wolfgang Schröder-Preikschat, Olaf Spinczyk, Ute Spinczyk |
Streamlining Object-Oriented Software for Deeply Embedded Applications. |
TOOLS (33) |
2000 |
DBLP DOI BibTeX RDF |
Embedded Systems, Operating Systems, Efficiency, Feature Modeling, Aspect-Orientation, Program family |
11 | Lin Xu, Ralf Tönjes, Toni Paila, Wolfgang Hansmann, Matthias Frank 0001, Markus Albrecht |
DRiVE-ing to the Internet: Dynamic Radio for IP Services in Vehicular Environments. |
LCN |
2000 |
DBLP DOI BibTeX RDF |
radio access networks, road traffic, dynamic radio, vehicular environments, DRiVE project, wireless IP communication, heterogeneous multi-radio environment, in-vehicle multimedia services, spectrum efficiency, IPv6 based network infrastructure, cellular radio networks, multi-radio access networks, DRiVE network, Internet, Internet, transport protocols, adaptive systems, multimedia communication, network architecture, traffic control, traffic control, cellular radio, road vehicles, adaptive services, research initiatives, IP services, dynamic spectrum allocation, European Union |
|
|