The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "ISQED"( http://dblp.L3S.de/Venues/ISQED )

URL (DBLP): http://dblp.uni-trier.de/db/conf/isqed

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (157) 2008 (171) 2009 (142) 2010 (134) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
ISQED(2573)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 569 occurrences of 384 keywords

Results
Found 2573 publication records. Showing 2573 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Tsang-Chi Kan, Hung-Ming Hong, Ying-Jung Chen, Shanq-Jang Ruan Configurable redundant via-aware standard cell design considering multi-via mechanism. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kentaro Kawakami, Takeshi Shiro, Hironobu Yamasaki, Katsuhiro Yoda, Hiroaki Fujimoto, Kenichi Kawasaki, Yasuhiro Watanabe Peak power reduction of a sensor network processor fabricated with Deeply Depleted Channel transistors in 65nm technology. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sadiq M. Sait, Abdalrahman M. Arafeh Tabu search based cells placement in nanofabric architectures with restricted connectivity. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sandeep Koranne Analysis of very large resistive networks using low distortion embedding. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Felipe S. Marranghello, André Inácio Reis, Renato P. Ribas CMOS inverter delay model based on DC transfer curve for slow input. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Errikos Lourandakis, Stefanos Stefanou, Konstantinos Nikellis, Sotiris Bantas RF passive device modeling and characterization in 65nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hiromitsu Awano, Hiroshi Tsutsui, Hiroyuki Ochi, Takashi Sato Multi-trap RTN parameter extraction based on Bayesian inference. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jingwei Lu, Chiu-Wing Sham LMgr: A low-M emory global router with dynamic topology update and bending-aware optimum path search. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ku He, Andreas Gerstlauer, Michael Orshansky Low-energy digital filter design based on controlled timing error acceptance. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ivan Ratkovic, Oscar Palomar, Milan Stanic, Osman S. Unsal, Adrián Cristal, Mateo Valero On the selection of adder unit in energy efficient vector processing. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yen-Han Lee, Ing-Chao Lin, Sheng-Wei Wang Impacts of NBTI and PBTI effects on ternary CAM. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shivam Priyadarshi, Niket K. Choudhary, Brandon H. Dwiel, Ankita Upreti, Eric Rotenberg, William Rhett Davis, Paul D. Franzon Hetero2 3D integration: A scheme for optimizing efficiency/cost of Chip Multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiaqi Yan, Zuying Luo, Liang Tang Accurate architecture-level thermal analysis methods for MPSoC with consideration for leakage power dependence on temperature. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yanzhi Wang, Maryam Triki, Xue Lin, Ahmed Chiheb Ammari, Massoud Pedram Hierarchical dynamic power management using model-free reinforcement learning. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nishit Ashok Kapadia, Sudeep Pasricha VERVE: A framework for variation-aware energy efficient synthesis of NoC-based MPSoCs with voltage islands. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dheepakkumaran Jayaraman, Spyros Tragoudas Performance validation through implicit removal of infeasible paths of the behavioral description. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rishik Bazaz, Jianyong Xie, Madhavan Swaminathan Electrical and thermal analysis for design exchange formats in three dimensional integrated circuits. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Takashi Imagawa, Hiroshi Tsutsui, Hiroyuki Ochi, Takashi Sato High-speed DFG-level SEU vulnerability analysis for applying selective TMR to resource-constrained CGRA. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Oghenekarho Okobiah, Saraju P. Mohanty, Elias Kougianos Geostatistics inspired fast layout optimization of nanoscale CMOS phase locked loop. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nishit Ashok Kapadia, Sudeep Pasricha A co-synthesis methodology for power delivery and data interconnection networks in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zhenzhou Sun, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Aida Todri, Arnaud Virazel, Etienne Auvray Effect-cause intra-cell diagnosis at transistor level. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Vinod Viswanath, Rajeev Muralidhar, Harinarayanan Seshadri, Jacob A. Abraham On a rewriting strategy for dynamically managing power constraints and power dissipation in SoCs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ting Yu 0007, Martin D. F. Wong A novel and efficient method for power pad placement optimization. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jai Narayan Tripathi, Raj Kumar Nagpal, Nitin Kumar Chhabra, Rakesh Malik, Jayanta Mukherjee 0001, Prakash R. Apte Power Integrity analysis and discrete optimization of decoupling capacitors on high speed power planes by particle swarm optimization. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuko Hara-Azumi, Hiroyuki Tomiyama Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Koji Nii, Makoto Yabuuchi, Hidehiro Fujiwara, Yasumasa Tsukamoto, Yuichiro Ishii, Tetsuya Matsumura, Yoshio Matsuda A cost-effective 45nm 6T-SRAM reducing 50mV Vmin and 53% standby leakage with multi-Vt asymmetric halo MOS and write assist circuitry. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shigetaka Kumashiro A predictable compact model for non-monotonous Vth-Pelgrom plot of long channel halo-implanted transistors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Baljit Kaur, Sandeep Miryala, S. K. Manhas, Bulusu Anand An efficient method for ECSM characterization of CMOS inverter in nanometer range technologies. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jinwook Jung, Yohei Nakata, Masahiko Yoshimoto, Hiroshi Kawaguchi 0001 Energy-efficient Spin-Transfer Torque RAM cache exploiting additional all-zero-data flags. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Soumyajit Chatterjee, Hafizur Rahaman 0001, Tuhina Samanta Multi-objective optimization algorithm for efficient pin-constrained droplet routing technique in digital microfluidic biochip. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jun Yong Shin, Nikil D. Dutt, Fadi J. Kurdahi Vision-inspired global routing for enhanced performance and reliability. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nan Wang 0003, Song Chen 0001, Takeshi Yoshimura Min-cut based leakage power aware scheduling in high-level synthesis. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Valeriu Beiu, Azam Beg, Walid Ibrahim, Fekri Kharbash, Massimo Alioto Enabling sizing for enhancing the static noise margins. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hanif Fatemi, Peivand Tehrani Crosstalk timing windows overlap in statistical static timing analysis. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rishi Todani, Ashis Kumar Mal A power efficient and digitally assisted CMOS complementary telescopic amplifier with wide input common mode range. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yanzhi Wang, Shuang Chen 0001, Hadi Goudarzi, Massoud Pedram Resource allocation and consolidation in a multi-core server cluster using a Markov decision process model. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Gong Chen 0002, Yu Zhang, Bo Yang 0004, Qing Dong 0002, Shigetoshi Nakatake A comparator energy model considering shallow trench isolation stress by geometric programming. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li 0002 Reliability-constrained die stacking order in 3DICs under manufacturing variability. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Saman Kiamehr, Farshad Firouzi, Mehdi Baradaran Tahoori Aging-aware timing analysis considering combined effects of NBTI and PBTI. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jiyuan Luan, Michael DiVita Analysis and reliability test to improve the data retention performance of EPROM circuits. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yasuhiro Shinozuka, Hiroshi Fuketa, Koichi Ishida, Futoshi Furuta, Kenichi Osada, Kenichi Takeda, Makoto Takamiya, Takayasu Sakurai Reducing IR drop in 3D integration to less than 1/4 using Buck Converter on Top die (BCT) scheme. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yinhe Han 0001, Song Jin, Jibing Qiu, Qiang Xu 0001, Xiaowei Li 0001 On predicting NBTI-induced circuit aging by isolating leakage change. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yue Hu, Shaoming Chen, Lu Peng 0001, Edward Song, Jin-Woo Choi Effective thermal control techniques for liquid-cooled 3D multi-core processors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Suming Lai, Peng Li 0001 A power-efficient on-chip linear regulator assisted by switched capacitors for fast transient regulation. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kwang Sub Yoon, Keon Lee A CMOS high dimming ratio power-LED driver with a preloading inductor current method. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chung-Kai Hsu, Kun-Lin Tsai, Jing-Fu Jheng, Shanq-Jang Ruan, Chung-An Shen A low power detection routing method for bufferless NoC. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ahmet Ceyhan, Azad Naeemi Impact of conventional and emerging interconnects on the circuit performance of various post-CMOS devices. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yi Xiang, Sudeep Pasricha Thermal-aware semi-dynamic power management for multicore systems with energy harvesting. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Avijit Dutta, Neil Tuttle, Krishnan Anandh Canonical ordering of instances to immunize the FPGA place and route flow from ECO-induced variance. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Wulong Liu, Haixiao Du, Yu Wang 0002, Yuchun Ma, Yuan Xie 0001, Jinguo Quan, Huazhong Yang TSV-aware topology generation for 3D Clock Tree Synthesis. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Tun Li, Yang Guo 0003, Wanwei Liu, Chiyuan Ma Efficient translation validation of high-level synthesis. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shrikanth Ganapathy, Ramon Canal, Antonio González 0001, Antonio Rubio 0001 Effectiveness of hybrid recovery techniques on parametric failures. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Syed M. A. H. Jafri, Ozan Bag, Ahmed Hemani, Nasim Farahini, Kolin Paul, Juha Plosila, Hannu Tenhunen Energy-aware coarse-grained reconfigurable architectures using dynamically reconfigurable isolation cells. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jinbo Wan, Hans G. Kerkhoff An arbitrary stressed NBTI compact model for analog/mixed-signal reliability simulations. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mohammad Shokrolah Shirazi, Brendan Morris, Henry Selvaraj Fast FPGA-based fault injection tool for embedded processors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zihao Chen, Hailong Yao, Yici Cai SUALD: Spacing uniformity-aware layout decomposition in triple patterning lithography. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Martin D. F. Wong Advances in wire routing. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chih-han Hsu, Shanq-Jang Ruan, Ying-Jung Chen, Tsang-Chi Kan Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Bao Liu 0001, Lu Wang Input-aware statistical timing analysis-based delay test pattern generation. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shan Cao, Zhaolin Li, Zhixiang Chen 0003, Guoyue Jiang, Shaojun Wei Compiler-assisted leakage energy optimization of media applications on stream architectures. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Nicolo Testi, Yang Xu 0017 A 0.2nJ/sample 0.01mm2 ring oscillator based temperature sensor for on-chip thermal management. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Hong Zhu 0009, Volkan Kursun Impact of process parameter and supply voltage fluctuations on multi-threshold-voltage seven-transistor static memory cells. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yiqiang Ding, Wei Zhang 0002 On the interactions between real-time scheduling and inter-thread cached interferences for multicore processors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dinesh Ganta, Leyla Nazhandali Easy-to-build Arbiter Physical Unclonable Function with enhanced challenge/response set. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Na Gong, Jinhui Wang, Ramalingam Sridhar Application-driven power efficient ALU design methodology for modern microprocessors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mark M. Budnik, Rasit Onur Topaloglu, Pallab Chatterjee, Keith A. Bowman, Kamesh V. Gadepally, Paul Wesling, Syed M. Alam, Rajiv V. Joshi Welcome to ISQED 2013. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1 International Symposium on Quality Electronic Design, ISQED 2013, Santa Clara, CA, USA, March 4-6, 2013 Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  BibTeX  RDF
1Dimitra Papagiannopoulou, Patipan Prasertsom, R. Iris Bahar Flexible data allocation for scratch-pad memories to reduce NBTI effects. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Youhua Shi, Hiroaki Igarashi, Nozomu Togawa, Masao Yanagisawa Suspicious timing error prediction with in-cycle clock gating. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yong Zou 0001, Sudeep Pasricha Reliability-aware and energy-efficient synthesis of NoC based MPSoCs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Animesh Datta, Mohamed H. Abu-Rahma, Sachin Dileep Dasnurkar, Hadi Rasouli, Sean Tamjidi, Ming Cai, Samit Sengupta, P. R. Chidambaram, Raghavan Thirumala, Nikhil Kulkarni, Prasanna Seeram, Prasad Bhadri, Prayag Patel, Sei Seung Yoon, Esin Terzioglu Analysis, modeling and silicon correlation of low-voltage flop data retention in 28nm process technology. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Rahul Krishnan, Wei Wu, Fang Gong, Lei He 0001 Stochastic behavioral modeling of analog/mixed-signal circuits by maximizing entropy. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Chenyun Pan, Ahmet Ceyhan, Azad Naeemi System-level optimization and benchmarking for InAs nanowire based gate-all-around tunneling FETs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Qiaosha Zou, Jing Xie 0006, Yuan Xie 0001 Cost-driven 3D design optimization with metal layer reduction technique. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shinichi Nishizawa, Tohru Ishihara, Hidetoshi Onodera Analysis and comparison of XOR cell structures for low voltage circuit design. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zhi Li 0016, Jingweijia Tan, Xin Fu Hybrid CMOS-TFET based register files for energy-efficient GPGPUs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Krishna Srinivasan, Jonathan Rosenfeld Design of a 6 Gbps continuous-time adaptive equalizer using a voltage rectifier instead of a power detector. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jacob Murray, Rajath Hegde, Teng Lu, Partha Pratim Pande, Behrooz A. Shirazi Sustainable dual-level DVFS-enabled NoC with on-chip wireless links. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Kasyab P. Subramaniyan, Per Larsson-Edefors Manufacturable nanometer designs using standard cells with regular layout. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mrigank Sharad, Karthik Yogendra, Kon-Woo Kwon, Kaushik Roy 0001 Design of ultra high density and low power computational blocks using nano-magnets. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Arunachalam Annamalai, Raghavan Kumar, Arunkumar Vijayakumar, Sandip Kundu A system-level solution for managing spatial temperature gradients in thinned 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jing Xie 0006, Yang Du, Yuan Xie 0001 CPDI: Cross-power-domain interface circuit design in monolithic 3D technology. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Young-Ho Gong, Hyung Beom Jang, Sung Woo Chung Performance and cache access time of SRAM-eDRAM hybrid caches considering wire delay. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Alexandra Aguiar, Carlos Moratelli, Marcos Sartori, Fabiano Hessel A virtualization approach for MIPS-based MPSoCs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Debesh Bhatta, Ishita Mukhopadhyay, Suriyaprakash Natarajan, Prashant Goteti, Bin Xue Framework for analog test coverage. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ken Yano, Takanori Hayashida, Toshinori Sato Improving timing error tolerance without impact on chip area and power consumption. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jongpil Jung, Kyungsu Kang, Giovanni De Micheli, Chong-Min Kyung Runtime 3-D stacked cache management for chip-multiprocessors. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Satyanarayana Telikepalli, Madhavan Swaminathan, David C. Keezer Minimizing simultaneous switching noise at reduced power with constant-voltage power transmission lines for high-speed signaling. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Ahish Mysore Somashekar, Spyros Tragoudas Diagnosis of small delay defects arising due to manufacturing imperfections using path delay measurements. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Yuriy Shiyanovskii, Christos A. Papachristou, Cheng-Wen Wu Analytical modeling and numerical simulations of temperature field in TSV-based 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dhruva Ghai, Saraju P. Mohanty, Garima Thakral Fast analog design optimization using regression-based modeling and genetic algorithm: A nano-CMOS VCO case study. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zheng Wang 0020, Chao Chen, Anupam Chattopadhyay Fast reliability exploration for embedded processors via high-level fault injection. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Amaravati Anvesha, Maryam Shojaei Baghini A versatile rail to rail current mode instrumentation amplifier with an embedded band-pass filter for bio-potential signal conditioning. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Adrian Evans, Michael Nicolaidis, Shi-Jie Wen, Thiago Asis Clustering techniques and statistical fault injection for selective mitigation of SEUs in flip-flops. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Shairfe Muhammad Salahuddin, Hailong Jiao, Volkan Kursun A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Sani R. Nassif, Gi-Joon Nam, Shayak Banerjee Wire delay variability in nanoscale technology and its impact on physical design. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Jifeng Chen, Mohammad Tehranipoor A novel flow for reducing clock skew considering NBTI effect and process variations. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Mrigank Sharad, Deliang Fan, Kaushik Roy 0001 Low power and compact mixed-mode signal processing hardware using spin-neurons. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Zhong Guan, Malgorzata Marek-Sadowska, Sani R. Nassif SRAM bit-line electromigration mechanism and its prevention scheme. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
1Dheepakkumaran Jayaraman, Spyros Tragoudas A method to determine the sensitization probability of a non-robustly testable path. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 2573 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license