The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for delay with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1948-1960 (16) 1961-1964 (16) 1965-1966 (16) 1967-1968 (18) 1969-1970 (20) 1971-1973 (22) 1974 (16) 1975-1976 (30) 1977 (18) 1978 (17) 1979 (19) 1980 (15) 1981 (26) 1982 (36) 1983 (40) 1984 (47) 1985 (56) 1986 (76) 1987 (75) 1988 (98) 1989 (105) 1990 (167) 1991 (180) 1992 (209) 1993 (224) 1994 (330) 1995 (441) 1996 (404) 1997 (482) 1998 (504) 1999 (672) 2000 (767) 2001 (1016) 2002 (1191) 2003 (1454) 2004 (1977) 2005 (2360) 2006 (2902) 2007 (3020) 2008 (3172) 2009 (2327) 2010 (1586) 2011 (1582) 2012 (1659) 2013 (1782) 2014 (1748) 2015 (1762) 2016 (1821) 2017 (1817) 2018 (1873) 2019 (2021) 2020 (1910) 2021 (1775) 2022 (1790) 2023 (1865) 2024 (427)
Publication types (Num. hits)
article(23373) book(12) data(5) incollection(72) inproceedings(26312) phdthesis(221) proceedings(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 18369 occurrences of 5291 keywords

Results
Found 50014 publication records. Showing 49999 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Anand Ramalingam, Sreekumar V. Kodakara, Anirudh Devgan, David Z. Pan Robust analytical gate delay modeling for low voltage circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Evangelia Kassapaki, Pavlos M. Mattheakis, Christos P. Sotiriou Actual-Delay Circuits on FPGA: Trading-Off Luts for Speed. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Kou Yamada, Hiroshi Takenaga The Parametrization of All Stabilizing Smith Predictors for Certain Class of Non-Minimum Phase Time-Delay Plants. Search on Bibsonomy ICICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Stijn De Vuyst, Sabine Wittevrongel, Herwig Bruneel Parametric delay differentiation between packet flows using multiple reserved spaces. Search on Bibsonomy VALUETOOLS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Xiaomin Dong, Miao Yu, Changrong Liao, Weimin Chen, Hong Hui Zhang, Shanglian Huang Adaptive Fuzzy Neural Network Control for Transient Dynamics of Magneto-rheological Suspension with Time-Delay. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Run-Nian Ma, Guoqiang Bai 0001 Stability Conditions for Discrete Hopfield Neural Networks with Delay. Search on Bibsonomy ICIC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Dan Jurca, Pascal Frossard Media Streaming with Conservative Delay on Variable Rate Channels. Search on Bibsonomy ICME The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
17Vasilis F. Pavlidis, Eby G. Friedman Via placement for minimum interconnect delay in three-dimensional (3D) circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Sang-Seon Byun, Chuck Yoo Reducing Delivery Delay in HRM Tree. Search on Bibsonomy ICCSA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Praveen Ghanta, Sarma B. K. Vrudhula Variational Interconnect Delay Metrics for Statistical Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Vishal J. Mehta, Malgorzata Marek-Sadowska, Zhiyuan Wang, Kun-Han Tsai, Janusz Rajski Delay Fault Diagnosis for Non-Robust Test. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Zhengang Pan, Jingxiu Liu, Lan Chen, Kenichi Higuchi, Mamoru Sawahashi Multi-degree Random Cyclic Delay Diversity in MISO Systems with Frequency-Domain Scheduling. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Run-Nian Ma, Guoqiang Bai 0001 Convergence Study of Discrete Neural Networks with Delay. Search on Bibsonomy ICONIP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Wei Luo, Krishna Balachandran, Sanjiv Nanda, K. K. Chang Delay analysis of selective-repeat ARQ with applications to link adaptation in wireless packet data systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Anup Kumar Sultania, Dennis Sylvester, Sachin S. Sapatnekar Gate oxide leakage and delay tradeoffs for dual-Tox circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi Longest-path selection for delay test under process variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Puneet Gupta 0001, Andrew B. Kahng, Ion I. Mandoiu, Puneet Sharma Layout-aware scan chain synthesis for improved path delay fault coverage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Zhiyuan Wang, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Delay-fault diagnosis using timing information. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Sunthiti Patchararungruang, Saman K. Halgamuge, Nirmala Shenoy Optimized rule-based delay proportion adjustment for proportional differentiated services. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Hyung Seok Kim, Tarek F. Abdelzaher, Wook Hyun Kwon Dynamic delay-constrained minimum-energy dissemination in wireless sensor networks. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Sensor network, multicast, energy
17Heng Wang, Narayan B. Mandayam Opportunistic file transfer over a fading channel under energy and delay constraints. Search on Bibsonomy IEEE Trans. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Trong Thua Huynh, Choong Seon Hong An Energy*Delay Efficient Routing Scheme for Wireless Sensor Networks. Search on Bibsonomy MMNS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Haihua Yan, Adit D. Singh, Gefu Xu Delay Defect Characterization Using Low Voltage Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17T. M. Mak Limitation of structural scan delay test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Gaoming Huang, Luxi Yang, Zhenya He Application of Blind Source Separation to Time Delay Estimation in Interference Environments. Search on Bibsonomy ISNN (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Shang-Wei Tu, Jing-Yang Jou, Yao-Wen Chang RLC coupling-aware simulation for on-chip buses and their encoding for delay reduction. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chien-Cheng Tseng Improved design of fractional order differentiator using fractional sample delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Moonseong Kim, Young-Cheol Bang, Hyunseung Choo Estimated Path Selection for the Delay Constrained Least Cost Path. Search on Bibsonomy Panhellenic Conference on Informatics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy Tuple Detection for Path Delay Faults: A Method for Improving Test Set Quality. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kan Takeuchi, Kazumasa Yanagisawa, Takashi Sato, Kazuko Sakamoto, Saburo Hojo Probabilistic crosstalk delay estimation for ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Andrew B. Kahng, Xu Xu 0001 Local unidirectional bias for cutsize-delay tradeoff in performance-driven bipartitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17William S. Evans, David G. Kirkpatrick Optimally scheduling video-on-demand to minimize delay when server and receiver bandwidth may differ. Search on Bibsonomy SODA The full citation details ... 2004 DBLP  BibTeX  RDF
17Runnian Ma, Youmin Xi, Hangshan Gao Stability of Discrete Hopfield Networks with Delay in Serial Mode. Search on Bibsonomy ISNN (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Zaiping Chen, Liang Liu, Jiangfeng Zhang Observer based networked control systems with network-induced time delay. Search on Bibsonomy SMC (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Daisuke Maruyama, Akira Kanuma, Takashi Mochiyama, Hiroaki Komatsu, Yaroku Sugiyama, Noriyuki Ito Detection of multiple transitions in delay fault test of SPARC64 microprocessor. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Moonseong Kim, Young-Cheol Bang, Hyunseung Choo On Balancing Delay and Cost for Routing Paths. Search on Bibsonomy International Conference on Computational Science The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Haining Liu, Magda El Zarki Adaptive Delay and Synchronization Control for Wi-Fi Based AV Conferencing. Search on Bibsonomy QSHINE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Goran Molnar, Mladen Vucic Design of constant-delay systems based on symmetry of time-domain response. Search on Bibsonomy ISCAS (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Young-Cheol Bang, Inki Hong, Sungchang Lee, ByungJun Ahn On Algorithms for Minimum-Cost Quickest Paths with Multiple Delay-Bounds. Search on Bibsonomy ICCSA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17M. L. Yan, C. K. Li Delay-Differentiable Fair Queueing (D2FQ): A Low Complexity Scheduling Algorithm for Packet-Switched Networks. Search on Bibsonomy AINA (1) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Shahdad Irajpour, Sandeep K. Gupta 0001, Melvin A. Breuer Timing-Independent Testing of Crosstalk in the Presence of Delay Producing Defects Using Surrogate Fault Models. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell High Quality TPG for Delay Faults in Look-Up Tables of FPGAs. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Madhu Mutyam Preventing Crosstalk Delay using Fibonacci Representation. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Puneet Gupta 0001, Andrew B. Kahng Wire Swizzling to Reduce Delay Uncertainty Due to Capacitive Coupling. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17N. V. Arvind, K. A. Rajagopal, H. S. Ajith, Das Suparna Path Based Approach for Crosstalk Delay Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Frank Liu 0001, Sani R. Nassif, Sarma B. K. Vrudhula Variational delay metrics for interconnect timing analysis. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Performance, Design
17Qiong Li, David L. Mills The Implication of Short-Range Dependency on Delay Variation Measurement. Search on Bibsonomy NCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Ulrich Seidl, Klaus Eckl, Frank M. Johannes Performance-Directed Retiming for FPGAs Using Post-Placement Delay Information. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Dimitrios Velenis, Marios C. Papaefthymiou, Eby G. Friedman Reduced Delay Uncertainty in High Performance Clock Distribution Networks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Huawei Li 0001, Yue Zhang, Xiaowei Li 0001 Delay Test Pattern Generation Considering Crosstalk-Induced Effects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Venkatesan Rajappan, Sachin S. Sapatnekar An Efficient Algorithm for Calculating the Worst-case Delay due to Crosstalk. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Lai Yin Ngan, Yuntao Wu, Hing-Cheung So, Pak-Chung Ching, Siu Wa Lee Joint time delay and pitch estimation for speaker localization. Search on Bibsonomy ISCAS (3) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kuo-Hsing Cheng, Yu-Lung Lo, Wen Fang Yu A mixed-mode delay-locked loop for wide-range operation and multiphase outputs. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sayed Vahid Azhari, Nasser Yazdani, Ali Mohammad Zareh Bidoki Providing Delay Guarantee in Input Queued Switches: A Comparative Analysis of Scheduling Algorithms. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17SangSik Yoon, Deokjai Choi A Constrained Multi-path Finding Mechanism Considering Available Bandwidth and Delay of MPLS LSP. Search on Bibsonomy ICOIN The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Arun Krishnamachary, Jacob A. Abraham Effects of Multi-cycle Sensitization on Delay Tests. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Kuo-Hsing Cheng, Yu-Lung Lo, Wen Fang Yu, Shu-Yin Hung A Mixed-Mode Delay-Locked Loop for Wide-Range Operation and Multiphase Clock Generation. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Constantinos Dovrolis, Dimitrios Stiliadis, Parameswaran Ramanathan Proportional differentiated services: delay differentiation and packet scheduling. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Dynamic priorities, resource management algorithms, quality of service
17Mustafa Türkboylari, Vijay K. Madisetti Effect of handoff delay on the system performance of TDMA cellular systems. Search on Bibsonomy MWCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Hasan Ural, Keqin Zhu Fault Recovery for a Distributed SP-Based Delay Constrained Multicast Routing Algorithm. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Frank Liu 0001, Chandramouli V. Kashyap, Charles J. Alpert A delay metric for RC circuits based on the Weibull distribution. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Cristinel Ababei, Navaratnasothie Selvakkumaran, Kia Bazargan, George Karypis Multi-objective circuit partitioning for cutsize and path-based delay minimization. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Cliff C. N. Sze, Ting-Chi Wang Optimal circuit clustering with variable interconnect delay. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Yuan-Cheng Lai, Wei-Hsi Li, Arthur Chang A Novel Scheduler for the Proportional Delay Differentiation Model by Considering Packet Transmission Time. Search on Bibsonomy ICOIN (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Sung-Ho Hwang, Ki Jun Han A Fast Access Scheme to Meet Delay Requirement for Wireless Access Network. Search on Bibsonomy ADVIS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Kazuhito Ito, Daisuke Suzuki A high-level synthesis method for simultaneous placement and scheduling considering data communication delay. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Sabine Bostelmann Categorization of Time Delay by Means of Neural Networks. Search on Bibsonomy Fuzzy Days The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Michinobu Nakao, Yoshikazu Kiyoshige, Kazumi Hatayama, Yasuo Sato, Takaharu Nagumo Test Generation for Multiple-Threshold Gate-Delay Fault Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Akihiko Machizawa, Kazunori Sugiura, Takahiro Komine, Haruo Okazawa, Shin-ichi Nakagawa On the Delay and Quality of DV Transmission Systems Using ATM Networks. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
17Róbert Szabó, Peter Barta, Felician Németh, József Bíró Worst-Case Deterministic Delay Bounds for Arbitrary Weighted Generalized Processor Sharing Schedulers. Search on Bibsonomy NETWORKING The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Tung Chong Wong, Jon W. Mark, Kee Chaing Chua Delay jitter performance of video traffic in a cellular wireless ATM network. Search on Bibsonomy WOWMOM The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Rajeev Murgai Delay-Constrained Area Recovery Via Layout-Driven Buffer Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Soha Hassoun Critical path analysis using a dynamically bounded delay model. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Mahesh Ketkar, Kishore Kasamsetty, Sachin S. Sapatnekar Convex delay models for transistor sizing. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
17Tomoyuki Yoda, Atsushi Takahashi 0001, Yoji Kajitani Clock Period Minimization of Semi-Synchronous Circuits by Gate-Level Delay Insertion. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Antti Mäntyniemi, Timo Rahkonen, Juha Kostamovaara A high resolution digital CMOS time-to-digital converter based on nested delay locked loops. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Emrah Acar, Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi S2P: A Stable 2-Pole RC Delay and Coupling Noise Metric. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Jayabrata Ghosh-Dastidar, Nur A. Touba Adaptive Techniques for Improving Delay Fault Diagnosis. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Subhashis Majumder, Bhargab B. Bhattacharya, Vishwani D. Agrawal, Michael L. Bushnell A Complete Characterization of Path Delay Faults through Stuck-at Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Juinn-Dar Huang, Jing-Yang Jou, Wen-Zen Shen, Hsien-Ho Chuang On circuit clustering for area/delay tradeoff under capacity and pin constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Cheng-Wen Wu, Chih-Yuang Su A Probabilistic Model for Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Sudipta Bhawmik A BIST scheme for the detection of path-delay faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
17Charles J. Alpert, Anirudh Devgan, Stephen T. Quay Buffer Insertion for Noise and Delay Optimization. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
17Majid Sarrafzadeh, David A. Knol, Gustavo E. Téllez A delay budgeting algorithm ensuring maximum flexibility in placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Hannah Honghua Yang, Martin D. F. Wong Circuit clustering for delay minimization under area and pin constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Kwang-Ting Cheng, Hsi-Chuan Chen Classification and identification of nonrobust untestable path delay faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy, Prasanti Uppaluri NEST: a nonenumerative test generation method for path delay faults in combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy An efficient nonenumerative method to estimate the path delay fault coverage in combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Weiwei Mao, Michael D. Ciletti Reducing correlation to improve coverage of delay faults in scan-path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Andrzej Krasniewski, Leszek B. Wronski Coverage of Delay Faults: When 13% and 99% Mean the Same. Search on Bibsonomy EDCC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Andrew B. Kahng, Chung-Wen Albert Tsao Low-cost single-layer clock trees with exact zero Elmore delay skew. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang Computation of floating mode delay in combinational circuits: practice and implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Srinivas Devadas, Kurt Keutzer, Sharad Malik Computation of floating mode delay in combinational circuits: theory and algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: theory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Carlos H. Díaz, Sung-Mo Kang, Yusuf Leblebici An accurate analytical delay model for BiCMOS driver circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Subhash C. Agrawal, Ravi Ramaswamy Analysis of the Resequencing Delay for M/M/m Systems. Search on Bibsonomy SIGMETRICS The full citation details ... 1987 DBLP  DOI  BibTeX  RDF SNA
17Vaishali P. Sadaphal, Bijendra N. Jain Random and Periodic Sleep Schedules for Target Detection in Sensor Networks. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF energy conservation, target detection, sleep schedule
Displaying result #901 - #1000 of 49999 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license