|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 12489 occurrences of 4757 keywords
|
|
|
Results
Found 54366 publication records. Showing 54366 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
17 | Richard P. Kleihorst, Reginald L. Lagendijk, Jan Biemond |
An adaptive order-statistic noise filter for gamma-corrected image sequences. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 6(10), pp. 1442-1446, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Jeffrey C. Jackson, Eli Shamir 0001, Clara Shwartzman |
Learning with Queries Corrupted by Classification Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISTCS ![In: Fifth Israel Symposium on Theory of Computing and Systems, ISTCS 1997, Ramat-Gan, Israel, June 17-19, 1997, Proceedings, pp. 45-53, 1997, IEEE Computer Society, 0-8186-8037-7. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
17 | Ravi Malladi, James A. Sethian |
A unified approach to noise removal, image enhancement, and shape recovery. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 5(11), pp. 1554-1568, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
17 | Jarke J. van Wijk |
Spot noise texture synthesis for data visualization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 18th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1991, Providence, RI, USA, April 27-30, 1991, pp. 309-318, 1991, ACM, 0-89791-436-8. The full citation details ...](Pics/full.jpeg) |
1991 |
DBLP DOI BibTeX RDF |
scientific visualization, texture synthesis, fractals, flow visualization, particle systems |
17 | J. P. Lewis 0001 |
Algorithms for solid noise synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGGRAPH ![In: Proceedings of the 16th Annual Conference on Computer Graphics and Interactive Techniques, SIGGRAPH 1989, Boston, MA, USA, July 31 - August 4, 1989, pp. 263-270, 1989, ACM, 0-201-50434-0. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
17 | Akira Arai, Ichiro Hagiwara |
Simultaneous CAE System for Reducing Engine Radiated Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIT-JSME Workshop ![In: Computer-Aided Cooperative Product Development, MIT-JSME Workshop, MIT, Cambridge, USA, November 20/21, 1989, Proceedings, pp. 472-486, 1989, Springer, 3-540-54008-3. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
17 | P. R. Peabody, David S. Adorno |
Digital synthesis of correlated stationary noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 5(7), pp. 400-401, 1962. The full citation details ...](Pics/full.jpeg) |
1962 |
DBLP DOI BibTeX RDF |
|
16 | Hongjun Jia, Aleix M. Martínez |
Low-Rank Matrix Fitting Based on Subspace Perturbation Analysis with Applications to Structure from Motion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 31(5), pp. 841-854, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Yu Takahashi, Tomoya Takatani, Keiichi Osako, Hiroshi Saruwatari, Kiyohiro Shikano |
Blind Spatial Subtraction Array for Speech Enhancement in Noisy Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Speech Audio Process. ![In: IEEE Trans. Speech Audio Process. 17(4), pp. 650-664, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
16 | Bor-Sen Chen, Yu-Te Chang, Yu-Chao Wang |
Robust Hinfinity-Stabilization Design in Gene Networks Under Stochastic Molecular Noises: Fuzzy-Interpolation Approach. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Syst. Man Cybern. Part B ![In: IEEE Trans. Syst. Man Cybern. Part B 38(1), pp. 25-42, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Xin Zou, Peter Jancovic, Ju Liu, Münevver Köküer |
Speech Signal Enhancement Based on MAP Algorithm in the ICA Space. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 56(5), pp. 1812-1820, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Weihong Guo 0002, Feng Huang 0001 |
A Local Mutual Information Guided Denoising Technique and Its Application to Self-calibrated Partially Parallel Imaging. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICCAI (2) ![In: Medical Image Computing and Computer-Assisted Intervention - MICCAI 2008, 11th International Conference, New York, NY, USA, September 6-10, 2008, Proceedings, Part II, pp. 939-947, 2008, Springer, 978-3-540-85989-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Mark Barnard, Janne Heikkilä |
On Bin Configuration of Shape Context Descriptors in Human Silhouette Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACIVS ![In: Advanced Concepts for Intelligent Vision Systems, 10th International Conference, ACIVS 2008, Juan-les-Pins, France, October 20-24, 2008. Proceedings, pp. 850-859, 2008, Springer, 978-3-540-88457-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
16 | Jason Van Hulse, Taghi M. Khoshgoftaar, Amri Napolitano |
Skewed Class Distributions and Mislabeled Examples. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDM Workshops ![In: Workshops Proceedings of the 7th IEEE International Conference on Data Mining (ICDM 2007), October 28-31, 2007, Omaha, Nebraska, USA, pp. 477-482, 2007, IEEE Computer Society, 0-7695-3033-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
16 | José Luis Oropeza Rodríguez, Sergio Suárez Guerra |
Using Adaptive Filter and Wavelets to Increase Automatic Speech Recognition Rate in Noisy Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICAI ![In: MICAI 2007: Advances in Artificial Intelligence, 6th Mexican International Conference on Artificial Intelligence, Aguascalientes, Mexico, November 4-10, 2007, Proceedings, pp. 1015-1024, 2007, Springer, 978-3-540-76630-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Least Measure Square and noisy speech signal, noisy reduction, Automatic Speech Recognition, Haar wavelets, Daubechies wavelet |
16 | Fredrik Tufvesson, Sinan Gezici, Andreas F. Molisch |
Ultra-Wideband Communications using Hybrid Matched Filter Correlation Receivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 5(11), pp. 3119-3129, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Steve Langer, Kenneth A. Fetterly, Jayawant Mandrekar, Scott Harmsen, Brian J. Bartholmai, Charles Patton, Alan Bishop, Colin McCannel |
ROC Study of Four LCD Displays Under Typical Medical Center Lighting Conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Imaging ![In: J. Digit. Imaging 19(1), pp. 30-40, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
interstitial lung disease, image quality, displays, ROC |
16 | Raju Hormis, Inaki Berenguer, Xiaodong Wang 0001 |
A simple baseband transmission scheme for power line channels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE J. Sel. Areas Commun. ![In: IEEE J. Sel. Areas Commun. 24(7), pp. 1351-1363, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Y.-H. Kim |
Feedback Capacity of the First-Order Moving Average Gaussian Channel. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Inf. Theory ![In: IEEE Trans. Inf. Theory 52(7), pp. 3063-3079, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Pei Chen, David Suter |
An Analysis of Linear Subspace Approaches for Computer Vision and Pattern Recognition. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 68(1), pp. 83-106, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
linear subspaces, first-order perturbation, multiple eigenvalue/singular value, face recognition, principal component analysis, singular value decomposition, structure from motion, homography, factorization method, matrix perturbation |
16 | Vitaly Feldman, Parikshit Gopalan, Subhash Khot, Ashok Kumar Ponnuswami |
New Results for Learning Noisy Parities and Halfspaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FOCS ![In: 47th Annual IEEE Symposium on Foundations of Computer Science (FOCS 2006), 21-24 October 2006, Berkeley, California, USA, Proceedings, pp. 563-574, 2006, IEEE Computer Society, 0-7695-2720-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Akira Mochizuki, Takahiro Hanyu |
Highly reliable Multiple-Valued Circuit Based on Dual-Rail Differential Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 36th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2006), 17-20 May 2006, Singapore, pp. 5, 2006, IEEE Computer Society, 0-7695-2532-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
16 | Binwei Weng, Kenneth E. Barner |
Nonlinear system identification in impulsive environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 53(7), pp. 2588-2594, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Mrityunjoy Chakraborty, Hideaki Sakai |
Convergence analysis of a complex LMS algorithm with tonal reference signals. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Speech Audio Process. ![In: IEEE Trans. Speech Audio Process. 13(2), pp. 286-292, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Joseph R. Litko |
Sensitivity analysis for robust parameter design experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the 37th Winter Simulation Conference, Orlando, FL, USA, December 4-7, 2005, pp. 2020-2025, 2005, IEEE Computer Society, 0-7803-9519-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Junhui He, Jiwu Huang, Guoping Qiu |
A New Approach to Estimating Hidden Message Length in Stochastic Modulation Steganography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWDW ![In: Digital Watermarking, 4th International Workshop, IWDW 2005, Siena, Italy, September 15-17, 2005, Proceedings, pp. 1-14, 2005, Springer, 3-540-28768-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
16 | Ju Yuan Hsiao, Shu-Yi Chen |
A Two-Stage Switching-Based Median Filter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Pacific Rim Conference on Multimedia ![In: Advances in Multimedia Information Processing - PCM 2002, Third IEEE Pacific Rim Conference on Multimedia, Hsinchu, Taiwan, December 16-18, 2002, Proceedings, pp. 377-385, 2002, Springer, 3-540-00262-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
16 | Martin Kuhlmann, Sachin S. Sapatnekar |
Exact and efficient crosstalk estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(7), pp. 858-866, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
16 | Niranjan Damera-Venkata, Thomas D. Kite, Wilson S. Geisler, Brian L. Evans, Alan C. Bovik |
Image quality assessment based on a degradation model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 9(4), pp. 636-650, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
16 | Robert D. Nowak, Richard G. Baraniuk |
Wavelet-domain filtering for photon imaging systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 8(5), pp. 666-678, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Osama K. Al-Shaykh, Russell M. Mersereau |
Restoration of lossy compressed noisy images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Image Process. ![In: IEEE Trans. Image Process. 8(10), pp. 1348-1360, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Masayuki Takahashi, Kimihiro Ogawa, Kenneth S. Kundert |
VCO Jitter Simulation and Its Comparison With Measurement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999, pp. 85-88, 1999, IEEE Computer Society, 0-7803-5012-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Martin Kuhlmann, Sachin S. Sapatnekar, Keshab K. Parhi |
Efficient Crosstalk Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 266-, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
16 | Anne M. Denton |
Subspace sums for extracting non-random data from massive noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Knowl. Inf. Syst. ![In: Knowl. Inf. Syst. 20(1), pp. 35-62, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Outlier analysis, Noise, Subspace clustering, Density-based clustering, Gene-expression analysis |
16 | Jiang Ji, Kaizhi Huang, Liang Jin, LiZhi Zhang, Meng Zhang |
Controllable single accumulated state-sequential acquisition with low signal noise ratio. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(5), pp. 858-866, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
pseudo-noise (PN) sequence, single accumulated state, sequential acquisition, control state |
16 | Dian-Wu Yue, Qian Wang |
Capacity of orthogonal space-time block codes in MISO fading channels with co-channel interference and noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(9), pp. 1697-1703, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
multiple-input single-output, orthogonal space-time block code, noise, capacity, co-channel interference |
16 | Ares Lagae, Sylvain Lefebvre 0001, George Drettakis, Philip Dutré |
Procedural noise using sparse Gabor convolution. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 28(3), pp. 54, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
noise, rendering, shading, procedural texture |
16 | Xuan Guo, Baoping Guo, Tao Hu, Ou Yang |
An Adaptive Hybrid Filtering for Removing Impulse Noise in Color Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2009, 6th International Symposium on Neural Networks, ISNN 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part III, pp. 494-501, 2009, Springer, 978-3-642-01512-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Noise attenuation, Genetic algorithm, Fuzzy neural network, Image filtering, Adaptive technique |
16 | Xiang Hu, Wenbo Zhao 0001, Peng Du, Yulei Zhang 0002, Amirali Shayan Arani, Christopher Pan, A. Ege Engin, Chung-Kuan Cheng |
On the bound of time-domain power supply noise based on frequency-domain target impedance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SLIP ![In: The 11th International Workshop on System-Level Interconnect Prediction (SLIP 2009), San Francisco, CA, USA, July 26-27, 2009, Proceedings, pp. 69-76, 2009, ACM, 978-1-60558-576-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
target impedance, voltage noise, power distribution network |
16 | Chih-Cheng Lu, Hsin Chen |
Current-Mode Computation with Noise in a Scalable and Programmable Probabilistic Neural VLSI System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICANN (1) ![In: Artificial Neural Networks - ICANN 2009, 19th International Conference, Limassol, Cyprus, September 14-17, 2009, Proceedings, Part I, pp. 401-409, 2009, Springer, 978-3-642-04273-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Probabilistic VLSI, scalable and programmable systems, noise |
16 | Rafael Dueire Lins |
A Taxonomy for Noise in Images of Paper Documents - The Physical Noises. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 6th International Conference, ICIAR 2009, Halifax, Canada, July 6-8, 2009. Proceedings, pp. 844-854, 2009, Springer, 978-3-642-02610-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
digital libraries, noise, document images, paper documents |
16 | Paris A. Mastorocostas, Dimitris N. Varsamis, Constantinos S. Hilas, Constantinos A. Mastorocostas |
A generalized Takagi-Sugeno-Kang recurrent fuzzy-neural filter for adaptive noise cancelation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 17(5-6), pp. 521-529, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Recurrent fuzzy-neural modeling, Dynamic neurons, Adaptive noise cancelation |
16 | Daniele Rossi 0001, André K. Nieuwland, Cecilia Metra |
Simultaneous Switching Noise: The Relation between Bus Layout and Coding. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 25(1), pp. 76-86, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
bus layout, switching patterns, system reliability, IC, power supply network, simultaneous switching noise, coding techniques |
16 | Lina Abdallah |
Optimal Flight Paths Reducing the Aircraft Noise during Landing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MCO ![In: Modelling, Computation and Optimization in Information Systems and Management Sciences, Second International Conference, MCO 2008, Metz, France - Luxembourg, September 8-10, 2008. Proceedings, pp. 1-10, 2008, Springer, 978-3-540-87476-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
aircraft noise abatement, Optimization, optimal control |
16 | Mohammad Abdollahpouri, Ali Khaki-Sedigh, Hamid Khaloozadeh |
A New Method for Active Noise Cancellation in the Presence of Three Unknown Moving Sources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia International Conference on Modelling and Simulation ![In: Second Asia International Conference on Modelling and Simulation, AMS 2008, Kuala Lumpur, Malaysia, May 13-15, 2008, pp. 1006-1011, 2008, IEEE Computer Society, 978-0-7695-3136-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Active cancellation, Clean room, Space region, ANC, BSS and ICA, Entropy, Noise, Fuzzy, Gradient |
16 | Zhong-Gui Sun, Jie Chen, Guangwu Meng |
An Impulse Noise Image Filter Using Fuzzy Sets. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISIP ![In: International Symposium on Information Processing, ISIP 2008 / International Pacific Workshop on Web Mining, and Web-Based Application, WMWA 2008, Moscow, Russia, 23-25 May 2008, pp. 183-186, 2008, IEEE Computer Society, 978-0-7695-3151-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Fuzzy sets, Digital Images, Impulse Noise |
16 | Roberto Gallea, Edoardo Ardizzone, Roberto Pirrone, Orazio Gambino |
Noise Filtering Using Edge-Driven Adaptive Anisotropic Diffusion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CBMS ![In: Proceedings of the Twenty-First IEEE International Symposium on Computer-Based Medical Systems, June 17-19, 2008, Jyväskylä, Finland, pp. 29-34, 2008, IEEE Computer Society, 978-0-7695-3165-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Magnetic Resonance Images, Anisotropic Diffusion, Noise Removal, Brain MRI |
16 | David Rebollo-Monedero, Jordi Forné, Josep Domingo-Ferrer |
From t-Closeness to PRAM and Noise Addition Via Information Theory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Privacy in Statistical Databases ![In: Privacy in Statistical Databases, UNESCO Chair in Data Privacy International Conference, PSD 2008, Istanbul, Turkey, September 24-26, 2008. Proceedings, pp. 100-112, 2008, Springer, 978-3-540-87470-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
t-closeness, Microdata anonymization, Information theory, PRAM, Rate distortion theory, Noise addition |
16 | Jitka Veronková, Zdena Palková |
Perception of Czech in Noise: Stability of Vowels. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COST 2102 Conference (Prague) ![In: Cross-Modal Analysis of Speech, Gestures, Gaze and Facial Expressions, COST Action 2102 International Conference, Prague, Czech Republic, October 15-18, 2008, Revised Selected and Invited Papers, pp. 149-161, 2008, Springer. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
perception of speech, word stress, noise, vowels, Czech |
16 | Agnieszka Lisowska |
Geometrical Multiscale Noise Resistant Method of Edge Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, 5th International Conference, ICIAR 2008, Póvoa de Varzim, Portugal, June 25-27, 2008. Proceedings, pp. 182-191, 2008, Springer, 978-3-540-69811-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
second order wedgelets, noise resistance, edge detection, multiresolution |
16 | Igor Djurovic, Vladimir V. Lukin |
Robust DFT-based filtering of pulse-like FM signals corrupted by impulsive noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Signal Image Video Process. ![In: Signal Image Video Process. 1(1), pp. 39-51, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
FM signals, Robust DFT, Robust filters, Median filter, Impulse noise |
16 | Taghi M. Khoshgoftaar, Pierre Rebours |
Improving Software Quality Prediction by Noise Filtering Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Sci. Technol. ![In: J. Comput. Sci. Technol. 22(3), pp. 387-396, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
expected cost of misclassification, voting expert, data quality, noise filtering, software quality classification |
16 | Praveen Ghanta, Sarma B. K. Vrudhula |
Analysis of Power Supply Noise in the Presence of Process Variations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 24(3), pp. 256-266, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
voltage response, verification, computer-aided design, process variations, modeling methodologies, power supply noise |
16 | Robert Bridson, Jim Houriham, Marcus Nordenstam |
Curl-noise for procedural fluid flow. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 26(3), pp. 46, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
noise, turbulence, fluids, procedural animation |
16 | Shaofei Wu, Shixian Wang |
Research on Noise Problem of Reputation Estimation in Virtual Enterprise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: Tenth IEEE International Symposium on High Assurance Systems Engineering (HASE 2007), November 14-16, 2007, Dallas, Texas, USA, pp. 399-400, 2007, IEEE Computer Society, 0-7695-3043-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
reputation estimation, noise filtration, probability filtration, virtual enterprise |
16 | Jørgen Andreas Michaelsen, Dag T. Wisland |
Suppression of delta-sigma DAC quantisation noise by bandwidth adaptation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 16-20, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
D/A converters, quantisation noise, MPEG audio, delta-sigma modulators |
16 | Xueshen Sui, Qinghua Hu, Daren Yu, Zongxia Xie, Zhongying Qi |
A Hybrid Method for Forecasting Stock Market Trend Using Soft-Thresholding De-noise Model and SVM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RSFDGrC ![In: Rough Sets, Fuzzy Sets, Data Mining and Granular Computing, 11th International Conference, RSFDGrC 2007, Toronto, Canada, May 14-16, 2007, Proceedings, pp. 387-394, 2007, Springer, 978-3-540-72529-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
De-noise, SVM, Stock market, Soft-thresholding, Financial time series |
16 | Eric Wong 0002, Jacob R. Minz, Sung Kyu Lim |
Decoupling capacitor planning and sizing for noise and leakage reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 395-400, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
3D floorplanning, power supply noise, decoupling capacitors, leakage power reduction |
16 | Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky |
Optimizing noise-immune nanoscale circuits using principles of Markov random fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 149-152, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Markov random fields, error correcting codes, emerging technologies, noise immunity, circuit optimization, subthreshold operation |
16 | Shitong Wang 0001, Fu-Lai Chung, Y. Y. Li, Dewen Hu, Xisheng Wu |
A new gaussian noise filter based on interval type-2 fuzzy logic systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Soft Comput. ![In: Soft Comput. 9(5), pp. 398-406, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Neural networks, Image-processing, Filter, Gaussian noise, Type-2 fuzzy sets, Fuzzy logic systems |
16 | Luigi Ippoliti, Luca Romagnoli, Lara Fontanella |
A noise estimation method for corrupted correlated data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Stat. Methods Appl. ![In: Stat. Methods Appl. 14(3), pp. 343-356, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Measurement noise estimation, Time series, Filtering, Image analysis, Orthogonal decompositions |
16 | Ryan Barnard, Saim Ural |
Rendering translucency with Perlin noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GRAPHITE ![In: Proceedings of the 3rd International Conference on Computer Graphics and Interactive Techniques in Australasia and Southeast Asia 2005, Dunedin, New Zealand, November 29 - December 2, 2005, pp. 131-134, 2005, ACM, 1-59593-201-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Perlin noise, rendering, raytracing, translucency |
16 | Robby T. Tan, Ko Nishino, Katsushi Ikeuchi |
Separating Reflection Components Based on Chromaticity and Noise Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 26(10), pp. 1373-1379, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
Reflection components separation, chromaticity, specular-to-diffuse mechanism, specular reflection, noise analysis, diffuse reflection, dichromatic reflection model |
16 | Jouni Viinikka, Hervé Debar |
Monitoring IDS Background Noise Using EWMA Control Charts and Alert Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAID ![In: Recent Advances in Intrusion Detection: 7th International Symposium, RAID 2004, Sophia Antipolis, France, September 15-17, 2004. Proceedings, pp. 166-187, 2004, Springer, 3-540-23123-4. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
IDS background noise, alert volume reduction, EWMA |
16 | Fabian Vargas 0001, Rubem Dutra Ribeiro Fagundes, Daniel Barros Jr. |
A New On-Line Robust Approach to Design Noise-Immune Speech Recognition Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 19(1), pp. 61-72, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
speech-recognition systems (SRS), digital signal processing (DSP), on-line testing, performance degradation, noise immunity, area overhead, recovery blocks |
16 | Shin Ota, Tadahiro Fujimoto, Machiko Tamura, Kazunobu Muraoka, Kunihiko Fujita, Norishige Chiba |
1/f\beta Noise-Based Real-Time Animation of Trees Swaying in Wind Fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Computer Graphics International ![In: 2003 Computer Graphics International (CGI 2003), 9-11 July 2003, Tokyo, Japan, pp. 52-59, 2003, IEEE Computer Society, 0-7695-1946-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
leaf, 1/fbeta noise, tree, motion, real-time animation, wind |
16 | Geoff Knagge, David Garrett, Sivarama Venkatesan, Chris Nicol |
Matrix datapath architecture for an iterative 4x4 MIMO noise whitening algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 13th ACM Great Lakes Symposium on VLSI 2003, Washington, DC, USA, April 28-29, 2003, pp. 153-156, 2003, ACM, 1-58113-677-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
matrix multipliction, noise whitening, MIMO, booth recoding |
16 | Vinita Vasudevan, M. Ramakrishna 0001 |
Computation of noise spectral density in switched capacitor circuits using the mixed-frequency-time technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 538-541, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
noise computation, switched capacitor circuits |
16 | Michael D. McCool |
Anisotropic diffusion for Monte Carlo noise reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 18(2), pp. 171-194, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
space-variant filtering, image processing, global illumination, Monte Carlo methods, noise reduction, image synthesis, anisotropic diffusion, light transport |
16 | Thomas C. M. Lee |
Segmenting Images Corrupted by Correlated Noise. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 20(5), pp. 481-492, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Correlated noise, merging algorithm, image segmentation, minimum description length |
16 | Wei Wu, Wei Lu, Masao Sakauchi |
An object-oriented model for drawing understanding and its ability of noise absorption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDAR ![In: Third International Conference on Document Analysis and Recognition, ICDAR 1995, August 14 - 15, 1995, Montreal, Canada. Volume I, pp. 261-264, 1995, IEEE Computer Society, 0-8186-7128-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
drawing understanding, noise absorption, MTDM, Matching Tree Driving Model, dynamic description, static description, matching tree, multitarget platform, multipurpose platform, object-oriented programming, image recognition, document image processing, object-oriented model, tree structure |
16 | Forrest Stonedahl, Susa H. Stonedahl |
Heuristics for sampling repetitions in noisy landscapes with fitness caching. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2010, Proceedings, Portland, Oregon, USA, July 7-11, 2010, pp. 273-280, 2010, ACM, 978-1-4503-0072-8. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
fitness caching, evolutionary algorithms, uncertainty, sampling, noise reduction, fitness landscapes, hill climbing |
16 | Sreeharsha Tavva, Dhireesha Kudithipudi |
Variation tolerant 9T SRAM cell design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 55-60, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
bitline leakage, static random access memory (SRAM), process variations, static noise margin, embedded sram |
16 | Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam |
Variation-aware multimetric optimization during gate sizing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 14(4), pp. 54:1-54:30, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise |
16 | Jun Liu 0029, Zhongdan Huan, Haiyang Huang 0001, Haili Zhang |
An Adaptive Method for Recovering Image from Mixed Noisy Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Vis. ![In: Int. J. Comput. Vis. 85(2), pp. 182-191, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Non-uniformly distributed noise, EM algorithm, Image denoising, Image deblurring |
16 | Piyabute Fuangkhon, Thitipong Tanprasert |
An Adaptive Learning Algorithm for Supervised Neural Network with Contour Preserving Classification. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AICI ![In: Artificial Intelligence and Computational Intelligence, International Conference, AICI 2009, Shanghai, China, November 7-8, 2009. Proceedings, pp. 389-398, 2009, Springer, 978-3-642-05252-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
supervised neural network, contour preserving classification, outpost vector, noise tolerance |
16 | Francisco J. Gallegos Funes, Victor Kravchenko, Volodymyr I. Ponomaryov, Alberto Rosales-Silva |
Video Denoising by Fuzzy Directional Filter Using the DSP EVM DM642. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis, Computer Vision, and Applications, 14th Iberoamerican Conference on Pattern Recognition, CIARP 2009, Guadalajara, Jalisco, Mexico, November 15-18, 2009. Proceedings, pp. 997-1004, 2009, Springer, 978-3-642-10267-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Directional Processing, Fuzzy logic, Impulsive Noise |
16 | Horng-Lin Shieh |
A Robust Support Vector Regression Based on Fuzzy Clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Next-Generation Applied Intelligence, 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, IEA/AIE 2009, Tainan, Taiwan, June 24-27, 2009. Proceedings, pp. 262-270, 2009, Springer, 978-3-642-02567-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
robust, noise, Fuzzy Clustering, outlier, Support vector regression |
16 | Yudong Zhang 0001, Lenan Wu |
Improved image filter based on SPCNN. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 51(12), pp. 2115-2125, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Nagao filter, image de-noising, image smoothing, pulse coupled neural network, edge preserving, salt and pepper noise |
16 | Chao-Hung Lu, Hung-Ming Chen, Chien-Nan Jimmy Liu |
Effective decap insertion in area-array SoC floorplan design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 13(4), pp. 66:1-66:20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
decap insertion, floorplan, Power supply noise |
16 | Juliette Blanchet, Florence Forbes |
Triplet Markov Fields for the Classification of Complex Structure Data. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Pattern Anal. Mach. Intell. ![In: IEEE Trans. Pattern Anal. Mach. Intell. 30(6), pp. 1055-1067, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Triplet Markov model, Complex noise models, EM-like algorithms, High dimensional data, Supervised classification, Conditional independence |
16 | Li-Yi Wei |
Parallel Poisson disk sampling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 27(3), pp. 20, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
GPU techniques, Poisson disk, parallel computation, sampling, texture synthesis, blue noise |
16 | Inae Choi, Hyunjung Shin |
Semi-supervised Learning with Ensemble Learning and Graph Sharpening. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IDEAL ![In: Intelligent Data Engineering and Automated Learning - IDEAL 2008, 9th International Conference, Daejeon, South Korea, November 2-5, 2008, Proceedings, pp. 172-179, 2008, Springer, 978-3-540-88905-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Graph sharpening, Hyperparameter selection, Semi-supervised learning, Ensemble learning, Noise reduction |
16 | Xiefeng Cheng, Yewei Tao, Yufeng Guo, Xuejun Zhang |
A New BSS Method of Single-Channel Mixture Signal Based on ISBF and Wavelet. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (2) ![In: Advances in Neural Networks - ISNN 2008, 5th International Symposium on Neural Networks, ISNN 2008, Beijing, China, September 24-28, 2008, Proceedings, Part II, pp. 650-657, 2008, Springer, 978-3-540-87733-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
ISBF, Wavelet, ICA, Noise |
16 | Bogdan Smolka |
Adaptive Generalized Vector Median Filter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIARP ![In: Progress in Pattern Recognition, Image Analysis and Applications, 13th Iberoamerican Congress on Pattern Recognition, CIARP 2008, Havana, Cuba, September 9-12, 2008. Proceedings, pp. 30-37, 2008, Springer, 978-3-540-85919-2. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
impulse noise reduction, image enhancement, Color image processing |
16 | Adam Tauman Kalai, Yishay Mansour, Elad Verbin |
On agnostic boosting and parity learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
STOC ![In: Proceedings of the 40th Annual ACM Symposium on Theory of Computing, Victoria, British Columbia, Canada, May 17-20, 2008, pp. 629-638, 2008, ACM, 978-1-60558-047-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
agnostic boosting, learning parity with noise, sub-exponential algorithms, agnostic learning |
16 | Jian Wang 0030, Lin Zhang 0001, Xiuming Shan, Yong Ren 0001 |
New theoretical framework for OFDM/CDMA systems with peak-limited nonlinearities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 50(5), pp. 783-792, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
OFDM, CDMA, nonlinearities, impulse noise |
16 | Kundan Nepal, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky |
Designing Nanoscale Logic Circuits Based on Markov Random Fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(2-3), pp. 255-266, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
reliability, redundancy, Markov random fields, noise immunity, probabilistic computing |
16 | Kwang-Ting (Tim) Cheng |
Supporting cost-effective innovation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 24(3), pp. 212, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
nanoscale, variability, IR drop, power supply noise |
16 | Jong-Seok Lee, Cheol Hoon Park |
Temporal filtering of visual speech for audio-visual speech recognition in acoustically and visually challenging environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMI ![In: Proceedings of the 9th International Conference on Multimodal Interfaces, ICMI 2007, Nagoya, Aichi, Japan, November 12-15, 2007, pp. 220-227, 2007, ACM, 978-1-59593-817-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
late integration, neural network, feature extraction, hidden Markov model, noise-robustness, audio-visual speech recognition, temporal filtering |
16 | Yang Liu 0007, Yan Liu 0004, Yan Zhang 0031 |
The Hong Kong Polytechnic University at TRECVID 2007 BBC rushes summarization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TVS ![In: Proceedings of the 1st ACM Workshop on Video Summarization, TVS 2007, Augsburg, Bavaria, Germany, September 28, 2007, pp. 50-54, 2007, ACM, 978-1-59593-780-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
BBC rushes summarization, audio transient, clapper board noise detection, video rushes editing |
16 | Amir Hussain 0001, Simone Cifani, Stefano Squartini, Francesco Piazza, Tariq S. Durrani |
A Novel Psychoacoustically Motivated Multichannel Speech Enhancement System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
COST 2102 Workshop (Vietri) ![In: Verbal and Nonverbal Communication Behaviours, COST Action 2102 International Workshop, Vietri sul Mare, Italy, March 29-31, 2007, Revised Selected and Invited Papers, pp. 190-199, 2007, Springer, 978-3-540-76441-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
auditory properties, noise reduction, Adaptive signal processing, array signal processing |
16 | Taigang He, Gari D. Clifford, Lionel Tarassenko |
Application of independent component analysis in removing artefacts from the electrocardiogram. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Neural Comput. Appl. ![In: Neural Comput. Appl. 15(2), pp. 105-116, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Independent component analysis, Permutation, ECG, Noise removal, Artefacts |
16 | Bard Ermentrout, David Saunders |
Phase resetting and coupling of noisy neural oscillators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Comput. Neurosci. ![In: J. Comput. Neurosci. 20(2), pp. 179-190, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Phase resetting, Pulsatile coupling, Noise, Neural oscillators |
16 | Tsutomu Gomi, Kichirou Koshida, Tosiaki Miyati, Jun Miyagawa, Hiroshi Hirano |
An Experimental Comparison of Flat-Panel Detector Performance for Direct and Indirect Systems (Initial Experiences and Physical Evaluation). ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Digit. Imaging ![In: J. Digit. Imaging 19(4), pp. 362-370, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Flat-panel detector, modulation transfer function (MTF), Wiener spectrum (WS), noise equivalent quanta (NEQ), image quality |
16 | Jee-Youl Ryu, Bruce C. Kim, Iboun Taimiya Sylla |
A Novel RF Test Scheme Based on a DFT Method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 22(3), pp. 229-237, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
RF design-for-testability, known-good-die, defects, low noise amplifier, RF test |
16 | Christian Böhm 0001, Christos Faloutsos, Jia-Yu Pan, Claudia Plant |
Robust information-theoretic clustering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KDD ![In: Proceedings of the Twelfth ACM SIGKDD International Conference on Knowledge Discovery and Data Mining, Philadelphia, PA, USA, August 20-23, 2006, pp. 65-75, 2006, ACM, 1-59593-339-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
clustering, noise-robustness, data summarization, parameter-free data mining |
16 | Shigeki Kozakura, Hisashi Ogawa, Hirokazu Miura, Noriyuki Matsuda, Hirokazu Taki, Satoshi Hori, Norihiro Abe |
An Interpretation Method for Classification Trees in Bio-data Mining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KES (2) ![In: Knowledge-Based Intelligent Information and Engineering Systems, 10th International Conference, KES 2006, Bournemouth, UK, October 9-11, 2006, Proceedings, Part II, pp. 620-627, 2006, Springer, 3-540-46537-5. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
mining corresponding to noise, continuous value mining, data mining, decision tree |
16 | Franck Michelet, Jean-Pierre Da Costa, Pierre Baylou, Christian Germain |
Local Orientation Estimation in Corrupted Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IWICPAS ![In: Advances in Machine Vision, Image Processing, and Pattern Analysis, International Workshop on Intelligent Computing in Pattern Analysis/Synthesis, IWICPAS 2006, Xi'an, China, August 26-27, 2006, Proceedings, pp. 349-358, 2006, Springer, 3-540-37597-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Amplitude modulation, IRON, Image Processing, Impulse noise, Anisotropy, Orientation estimation |
16 | Hanif Fatemi, Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Emre Tuncer |
SACI: statistical static timing analysis of coupled interconnects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 16th ACM Great Lakes Symposium on VLSI 2006, Philadelphia, PA, USA, April 30 - May 1, 2006, pp. 241-246, 2006, ACM, 1-59593-347-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
coupled interconnect, sources of variation, crosstalk noise, statistical timing analysis |
|
|