The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for scaling with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1952-1974 (16) 1975-1980 (18) 1981-1982 (18) 1983-1986 (16) 1987-1988 (28) 1989 (20) 1990 (38) 1991 (32) 1992 (56) 1993 (62) 1994 (147) 1995 (97) 1996 (96) 1997 (103) 1998 (195) 1999 (197) 2000 (230) 2001 (285) 2002 (366) 2003 (453) 2004 (622) 2005 (831) 2006 (925) 2007 (981) 2008 (995) 2009 (784) 2010 (460) 2011 (395) 2012 (468) 2013 (607) 2014 (579) 2015 (580) 2016 (579) 2017 (639) 2018 (650) 2019 (658) 2020 (690) 2021 (785) 2022 (838) 2023 (930) 2024 (248)
Publication types (Num. hits)
article(6762) book(5) data(3) incollection(81) inproceedings(9709) phdthesis(151) proceedings(6)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 7106 occurrences of 3291 keywords

Results
Found 16717 publication records. Showing 16717 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
12Dong Yu, Lihong Ma 0002, Guoxi Wang, Hanqing Lu Adaptive spread-transform dither modulation using an improved luminance-masked threshold. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Cristiano M. Agulhari, Rosanna M. R. Silveira, Ivanil S. Bonatti Compressing electrocardiogram signals using parameterized wavelets. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF electrocardiogram signals, wavelet, data compression, signal processing, ECG
12Priya Govindarajan, Srihari Makineni, Donald Newell, Ravi R. Iyer 0001, Ram Huggahalli, Amit Kumar 0008 Achieving 10Gbps Network Processing: Are We There Yet?. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Large Receive Offload, LRO, Direct Cache Access, TOE, TCP/IP acceleration, de-fragmentation, receive offload, receive side coalescing, RSC, DCA
12Yogish Sabharwal, Saurabh Kumar Garg 0001, Rahul Garg 0001, John A. Gunnels, Ramendra K. Sahoo Optimization of Fast Fourier Transforms on the Blue Gene/L Supercomputer. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Swaroop Ghosh, Kaushik Roy 0001 Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yong Dong, Juan Chen 0001, Xuejun Yang, Canqun Yang, Lin Peng Low Power Optimization for MPI Collective Operations. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Zhidong Li, Jing Chen, Adrian Chong, Zhenghua Yu, Nicol N. Schraudolph Using stochastic gradient-descent scheme in appearance model based face tracking. Search on Bibsonomy MMSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Gunnar Tufte Discovery and Investigation of Inherent Scalability in Developmental Genomes. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Rob Aitken, Jerry Bautista, Wojciech Maly, Jan M. Rabaey More Moore: foolish, feasible, or fundamentally different? Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sujan Pandey, Rolf Drechsler, Tudor Murgan, Manfred Glesner Process variations aware robust on-chip bus architecture synthesis for MPSoCs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sangmin Kim, Gerald E. Sobelman, Hanho Lee Adaptive quantization in min-sum based irregular LDPC decoder. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Jiong Luo, Niraj K. Jha Power-Efficient Scheduling for Heterogeneous Distributed Real-Time Embedded Systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Runyi Yu 0001 Characterization and Sampled-Data Design of Dual-Tree Filter Banks for Hilbert Transform Pairs of Wavelet Bases. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Shaoyi Du, Nanning Zheng 0001, Shihui Ying, Qubo You, Yang Wu 0001 AN Extension of the ICP Algorithm Considering Scale Factor. Search on Bibsonomy ICIP (5) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Daniel Greenfield, Arnab Banerjee, Jeong-Gun Lee, Simon W. Moore Implications of Rent's Rule for NoC Design and Its Fault-Tolerance. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yueguo Chen, Mario A. Nascimento, Beng Chin Ooi, Anthony K. H. Tung SpADe: On Shape-based Pattern Detection in Streaming Time Series. Search on Bibsonomy ICDE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Nilanjan Banerjee, Georgios Karakonstantis, Kaushik Roy 0001 Process variation tolerant low power DCT architecture. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yong-Yeol Ahn, Seungyeop Han, Haewoon Kwak, Sue B. Moon, Hawoong Jeong Analysis of topological characteristics of huge online social networking services. Search on Bibsonomy WWW The full citation details ... 2007 DBLP  DOI  BibTeX  RDF social network, sampling
12David E. Keyes Petaflop/s, Seriously. Search on Bibsonomy HiPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Pao-Ann Hsiung, Pin-Hsien Lu, Chih-Wen Liu Energy efficient co-scheduling in dynamically reconfigurable systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF energy efficient, reconfigurable systems
12Cristian Coarfa, John M. Mellor-Crummey, Nathan Froyd, Yuri Dotsenko Scalability analysis of SPMD codes using expectations. Search on Bibsonomy ICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF performance analysis, parallel programming models, performance visualization, HPCToolkit
12Masayuki Hiromoto, Kentaro Nakahara, Hiroki Sugano, Yukihiro Nakamura, Ryusuke Miyamoto A Specialized Processor Suitable for AdaBoost-Based Detection with Haar-like Features. Search on Bibsonomy CVPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Azah Kamilah Muda, Siti Mariyam Hj. Shamsuddin, Maslina Darus Embedded Scale United Moment Invariant for Identification of Handwriting Individuality. Search on Bibsonomy ICCSA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Handwriting Individuality, Geometric Function, Alternative Scale United Moment Invariant
12Foad Dabiri, Roozbeh Jafari, Ani Nahapetian, Majid Sarrafzadeh A Unified Optimal Voltage Selection Methodology for Low-Power Systems. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Wanghong Yuan, Klara Nahrstedt Energy-efficient CPU scheduling for multimedia applications. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mobile computing, multimedia, Power management, soft real-time
12Christian Borgs, Jennifer T. Chayes, Remco van der Hofstad, Gordon Slade, Joel Spencer Random Subgraphs Of Finite Graphs: III. The Phase Transition For The n-Cube. Search on Bibsonomy Comb. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Mathematics Subject Classification (2000): 05C80, 82B43
12Sahand Haji Ali Ahmad, Aleksandar Jovicic, Pramod Viswanath On outer bounds to the capacity region of wireless networks. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cut-set bounds, isometric embedding, ad hoc wireless networks, multihop, transport capacity, capacity region
12Masoud Alghoniemy, Ahmed H. Tewfik Progressive quantized projection approach to data hiding. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Seungsin Lee, Raghuveer M. Rao Self-similar random field models in discrete space. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12T. Umayahara, Haruhide Hokari, Shoji Shimada Stereo width control using interpolation and extrapolation of time-frequency representation. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Anissa Zergaïnoh, Pierre Duhamel Compactly Supported Non-Uniform Spline Wavelet for Irregularly Sub-Sampled Image Representation. Search on Bibsonomy ICIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Joon Ahn, Bhaskar Krishnamachari Is data-centric storage and querying scalable? Search on Bibsonomy SenSys The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor networks, modeling, scalability, performance analysis, energy efficiency, querying, theory
12Yingen Xiong, Francis K. H. Quek Automatic Aerial Image RegistrationWithout Correspondence. Search on Bibsonomy ICVS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Sung-Kwun Oh, Jeoung-Nae Choi, Seong-Whan Jang The Design of Fuzzy Controller by Means of Genetic Algorithms and NFN-Based Estimation Technique. Search on Bibsonomy PRICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Neurofuzzy Network (NFN), Estimation technique, Genetic Algorithms, Fuzzy Controller
12Todd M. Austin Robust low power computing in the nanoscale era. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Huizhan Yi, Juan Chen 0001, Xuejun Yang Static WCET Analysis Based Compiler-Directed DVS Energy Optimization in Real-Time Applications. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Real-time, Low-power, Compiler, WCET
12Zhong-Xian Wang, Jueng-je Yang, Tae-Chon Ahn The Design of Self-tuning Strategy of Genetically Optimized Fuzzy-PI Controller for HVDC System. Search on Bibsonomy KES (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fuzzy-PI controller, Self-tuning controller, HVDC, Genetic Algorithms(GAs)
12Wei Wang 0015, Dongming Peng, Honggang Wang 0001, Hamid Sharif Study of an energy efficient multi rate scheme for wireless sensor network MAC protocol. Search on Bibsonomy Q2SWinet The full citation details ... 2006 DBLP  DOI  BibTeX  RDF wireless sensor network, energy efficiency, MAC, multi rate
12Ashutosh Chakraborty, Karthik Duraisami, Ashoka Visweswara Sathanur, Prassanna Sithambaram, Alberto Macii, Enrico Macii, Massimo Poncino Implications of ultra low-voltage devices on design techniques for controlling leakage in NanoCMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tohru Furuyama Deep sub-100 nm Design Challenges. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12G. Girishankar, Shitanshu Tiwari Generating Scalable Polynomial Models: Key to Low Power High Performance Designs. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ali Karabiyik, Aydogan Savran Hardware Implementation of a Wavelet Neural Network Using FPGAs. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Atanu Chattopadhyay, Zeljko Zilic GALDS: a complete framework for designing multiclock ASICs and SoCs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Alex Zemlianov, Gustavo de Veciana Capacity of ad hoc wireless networks with infrastructure support. Search on Bibsonomy IEEE J. Sel. Areas Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Pierre Chainais, Rudolf H. Riedi, Patrice Abry On non-scale-invariant infinitely divisible cascades. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ming Hong Pi, Mrinal K. Mandal 0001, Anup Basu Image retrieval based on histogram of fractal parameters. Search on Bibsonomy IEEE Trans. Multim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Yang Yu 0009, Viktor K. Prasanna Energy-Balanced Task Allocation for Collaborative Processing in Wireless Sensor Networks. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF single-hop wireless networks, sensor networks, energy saving, ILP
12Shawn Kraut, Louis L. Scharf, Ronald W. Butler The adaptive coherence estimator: a uniformly most-powerful-invariant adaptive detection statistic. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Waheed Uz Zaman Bajwa, Akbar M. Sayeed, Robert D. Nowak Matched source-channel communication for field estimation in wireless sensor networks. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Anand D. Sarwate, Michael Gastpar Fading observation alignment via feedback. Search on Bibsonomy IPSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Li-bao Zhang A New Region of Interest Image Coding for Narrowband Network: Partial Bitplane Alternating Shift. Search on Bibsonomy NPC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Himanshu Kaul, Dennis Sylvester, David T. Blaauw, Trevor N. Mudge, Todd M. Austin DVS for On-Chip Bus Designs Based on Timing Error Correction. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Norman P. Jouppi The Future Evolution of High-Performance Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Michael Schillo, Daniela Spresny Organization: The Central Concept for Qualitative and Quantitative Scalability. Search on Bibsonomy Socionics The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Saad Biaz, Yiming Ji Precise Distributed Localization Algorithms for Wireless Networks. Search on Bibsonomy WOWMOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sung-Kwun Oh, Seok-Beom Roh, Tae-Chon Ahn Parameter Estimation of Fuzzy Controller Using Genetic Optimization and Neurofuzzy Networks. Search on Bibsonomy ISNN (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Guoqiang Mao Real-Time Network Traffic Prediction Based on a Multiscale Decomposition. Search on Bibsonomy ICN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Nees Jan van Eck, Ludo Waltman, Jan van den Berg A Novel Algorithm for Visualizing Concept Associations. Search on Bibsonomy DEXA Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Nilesh Khude, Anurag Kumar 0001, Aditya Karnik Time and energy complexity of distributed computation in wireless sensor networks. Search on Bibsonomy INFOCOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sung-Kwun Oh, Seok-Beom Roh The Design of Fuzzy Controller by Means of Evolutionary Computing and Neurofuzzy Networks. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Fan Zhang 0097, Samuel T. Chanson Power-Aware Processor Scheduling under Average Delay Constraints. Search on Bibsonomy IEEE Real-Time and Embedded Technology and Applications Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Soo-Chang Pei, Meng-Ping Kao Two dimensional nonuniform perfect reconstruction filter bank with irrational down-sampling matrices. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Hakan Gürkan, Ümit Güz, B. Siddik Yarman An efficient ECG data compression technique based on predefined signature and envelope vector banks. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Huai-bin Wang, Ying Yu, Zhen Liu SVM Classifier Incorporating Feature Selection Using GA for Spam Detection. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Support Vector Machines (SVM), Feature selection, Genetic Algorithm (GA), Spam detection
12Cheng-Lin Liu, Hongwei Hao, Hiroshi Sako Confidence Transformation for Combining Classifiers. Search on Bibsonomy Pattern Anal. Appl. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Confidence transformation, Pattern classification, Logistic regression, Classifier combination, Gaussian modeling, Evidence combination
12Reginald L. Lagendijk, Ivo D. Shterev Estimation of attacker's scale and noise variance for qim-dc watermark embedding. Search on Bibsonomy ICIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Alexandru Andrei, Marcus T. Schmitz, Petru Eles, Zebo Peng, Bashir M. Al-Hashimi Overhead-Conscious Voltage Selection for Dynamic and Leakage Energy Reduction of Time-Constrained Systems. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Masashi Imai, Metehan Özcan, Takashi Nanya Evaluation of Delay Variation in Asynchronous Circuits Based on the Scalable-Delay-Insensitive Model. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Sung-Kwun Oh, Seok-Beom Roh, Dong-Yoon Lee, Sung-Whan Jang The Design of Fuzzy Controller by Means of CI Technologies-Based Estimation Technique. Search on Bibsonomy ISNN (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Jinwu Wei, Jiangxing Wu, Shuqiao Chen Joint multifractal network traffic generator and characteristics analysis. Search on Bibsonomy ISCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Dakai Zhu 0001, Rami G. Melhem, Daniel Mossé The effects of energy management on reliability in real-time embedded systems. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12M. A. Zerafat Pisheh, Abbas Sheikhi Detection and Compensation of Image Sequence Jitter Due to an Unstable CCD Camera for Video Tracking of a Moving Target. Search on Bibsonomy 3DPVT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12A. Murat Fiskiran, Ruby B. Lee Evaluating Instruction Set Extensions for Fast Arithmetic on Binary Finite Fields. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ramalingam Sridhar System-on-Chip (SoC): Clocking and Synchronization Issues. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Peter Biermann, Bernhard Jung 0001 Variant Design in Immersive Virtual Reality: A Markup Language for Scalable CSG Parts. Search on Bibsonomy AMDO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ilias Maglogiannis, Elias P. Zafiropoulos Automated Medical Image Registration Using the Simulated Annealing Algorithm. Search on Bibsonomy SETN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Ningsong Peng, Jie Yang 0002, Jia-Xin Chen Kernel-Bandwidth Adaptation for Tracking Object Changing in Size. Search on Bibsonomy ICIAR (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Eamonn J. Keogh Efficiently Finding Arbitrarily Scaled Patterns in Massive Time Series Databases. Search on Bibsonomy PKDD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Wanghong Yuan, Klara Nahrstedt Energy-efficient soft real-time CPU scheduling for mobile multimedia systems. Search on Bibsonomy SOSP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF mobile computing, multimedia, power management
12Kerry Bernstein, Ching-Te Chuang, Rajiv V. Joshi, Ruchir Puri Design and CAD Challenges in sub-90nm CMOS Technologies. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg FAST: Frequency-Aware Static Timing Analysis. Search on Bibsonomy RTSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Adnan M. Alattar, Joel Meyer Watermark re-synchronization using log-polar mapping of image autocorrelation. Search on Bibsonomy ISCAS (2) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Mohammad M. Mansour, Amit Mehrotra Efficient core designs based on parameterized macrocells with accurate delay models. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Ching-Te Chuang, Rajiv V. Joshi, Ruchir Puri, Keunwoo Kim Design Considerations of Scaled Sub-0.1 ?m PD/SOI CMOS Circuits. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Makram M. Mansour, Mohammad M. Mansour, Amit Mehrotra Parameterized Macrocells with Accurate Delay Models for Core-Based Designs. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Oleg Semenov, Arman Vassighi, Manoj Sachdev, Ali Keshavarzi, Charles F. Hawkins Burn-in Temperature Projections for Deep Sub-micron Technologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Jiong Luo, Niraj K. Jha Power-profile Driven Variable Voltage Sealing for Heterogeneous Distributed Real-time Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Zachary Wartell, Larry F. Hodges, William Ribarsky A Geometric Comparison of Algorithms for Fusion Control in Stereoscopic HTDs. Search on Bibsonomy IEEE Trans. Vis. Comput. Graph. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF virtual reality, distortion, head-tracking, stereoscopic display
12Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Siva G. Narendra, Vivek De, Shekhar Borkar, Dimitri A. Antoniadis, Anantha P. Chandrakasan Full-chip sub-threshold leakage power prediction model for sub-0.18 µm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sub-threshold leakage, CMOS, within-die variation
12K. L. Lau, Wan-Chi Siu, Ngai-Fong Law Improved Scheme for Object Searching Using Moment Invariants. Search on Bibsonomy IEEE Pacific Rim Conference on Multimedia The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
12Ching-Yung Lin, Min Wu 0001, Jeffrey A. Bloom, Ingemar J. Cox, Matthew L. Miller, Yui Man Lui Rotation, scale, and translation resilient watermarking for images. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Jungkeun Park, Minsoo Ryu, Seongsoo Hong, Lucia Lo Bello Rapid Re-Engineering of Embedded Real-Time Systems via Cost-Benefit Analysis with K-Level Diagonal Searc. Search on Bibsonomy RTSS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Phokion G. Kolaitis, Thomas Raffill In Search of a Phase Transition in the AC-Matching Problem. Search on Bibsonomy CP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Andrew M. Wissink, Richard D. Hornung, Scott R. Kohn, Steve S. Smith, Noah Elliott Large scale parallel structured AMR calculations using the SAMRAI framework. Search on Bibsonomy SC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Prasad Jogalekar, C. Murray Woodside Evaluating the Scalability of Distributed Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF scalability metric, layered queuing, distributed systems, Scalability, replication, performance model, performance optimization, software performance
12Qiming Chen, Meichun Hsu, Umeshwar Dayal A Data-Warehouse/OLAP Framework for Scalable Telecommunication Tandem Traffic Analysis. Search on Bibsonomy ICDE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Shin-Ichi Nakano, Takao Nishizeki, Takeshi Tokuyama, Shuhei Watanabe Labeling Points with Rectangles of Various Shapes. Search on Bibsonomy GD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Paolo Faraboschi, Geoffrey Brown, Joseph A. Fisher, Giuseppe Desoli, Fred Homewood Lx: a technology platform for customizable VLIW embedded processing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
12Rick A. Vander Kam, Ping Wah Wong, Robert M. Gray JPEG-compliant perceptual coding for a grayscale image printing pipeline. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
Displaying result #901 - #1000 of 16717 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license