|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 155 occurrences of 134 keywords
|
|
|
Results
Found 1016 publication records. Showing 1016 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
12 | Yuzhe Tang, Shuigeng Zhou |
LHT: A Low-Maintenance Indexing Scheme over DHTs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: 28th IEEE International Conference on Distributed Computing Systems (ICDCS 2008), 17-20 June 2008, Beijing, China, pp. 141-151, 2008, IEEE Computer Society, 978-0-7695-3172-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Hsieh Yung-Cheng, Cheng Hui-Wen, Cheng Yuan-Hao, Chen Shu-Yu, Ng Vin Sing |
Flexible Hybrid Screening Solutions for Flexography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSSE (6) ![In: International Conference on Computer Science and Software Engineering, CSSE 2008, Volume 6: Graphic Communication / Other Applications, December 12-14, 2008, Wuhan, China, pp. 393-397, 2008, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Rasit Onur Topaloglu |
Process Variation Characterization and Modeling of Nanoparticle Interconnects for Foldable Electronics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 498-501, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
foldable electronics, nanoparticle interconnects, process variations |
12 | J. Satheeshkumar 0001, S. Arumugaperumal, Reghunadhan Rajesh, Chandrasekharan Kesavadas |
Does brain react on Indian music? - A functional magnetic resonance imaging study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2008, part of the IEEE World Congress on Computational Intelligence, WCCI 2008, Hong Kong, China, June 1-6, 2008, pp. 2696-2702, 2008, IEEE, 978-1-4244-1820-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Athulan Vijayaraghavan, David A. Dornfeld |
Subdivision surfaces for procedural design of imprint rolls. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Symposium on Solid and Physical Modeling ![In: Proceedings of the 2008 ACM Symposium on Solid and Physical Modeling, Stony Brook, New York, USA, June 2-4, 2008, pp. 327-332, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
procedural design, roller imprinting, subdivision surfaces, finite element analysis |
12 | Abbi Hamed, Zion Tsz Ho Tse, Ian Young 0002, Michael Lampérth |
MR Compatible Tactile Sensing and Noise Analysis in a 1.5 Tesla MR System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MIAR ![In: Medical Imaging and Augmented Reality, 4th International Workshop, MIAR 2008, Tokyo, Japan, August 1-2, 2008, Proceedings, pp. 220-230, 2008, Springer, 978-3-540-79981-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
MR Compatible, Force Sensor, Medical robotics |
12 | Rajesh Mahindra, Gautam D. Bhanage, George C. Hadjichristofi, Sachin Ganu, Pandurang Kamat, Ivan Seskar, Dipankar Raychaudhuri |
Integration of heterogeneous networking testbeds. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TRIDENTCOM ![In: 4th International Conference on Testbeds & Research Infrastructures for the DEvelopment of NeTworks & COMmunities (TRIDENTCOM 2008), March 18-20, 2008, Innsbruck, Austria, pp. 27, 2008, ICST, 978-963-9799-24-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
12 | Amrinder S. Nain, Franklin Chung, Michael Rule, Julie A. Jadlowiec, Phil G. Campbell, Cristina H. Amon, Metin Sitti |
Microrobotically Fabricated Biological Scaffolds for Tissue Engineering. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 1918-1923, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Cagdas D. Onal, Chytra Pawashe, Metin Sitti |
A scaled bilateral control system for experimental 1-D teleoperated nanomanipulation applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2007 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 29 - November 2, 2007, Sheraton Hotel and Marina, San Diego, California, USA, pp. 483-488, 2007, IEEE, 978-1-4244-0912-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Christian Kandt, Eliud O. Oloo, D. Peter Tieleman |
Domain coupling in the ABC transporter system BtuCD/BtuF: molecular dynamics simulation, normal mode analysis and protein-protein docking. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCS ![In: 21st Annual International Symposium on High Performance Computing Systems and Applications (HPCS 2007), 13-16 May 2007, Saskatoon, Saskatchewan, Canada, pp. 17, 2007, IEEE Computer Society, 978-0-7695-2813-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Janet S. Jacobsen, Dominique C. Joyner, Sharon E. Borglin |
Visualization of Growth Curve Data from Phenotype Microarray Experiments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IV ![In: 11th International Conference on Information Visualisation, IV 2007, 2-6 July 2007, Zürich, Switzerland, pp. 535-544, 2007, IEEE Computer Society, 0-7695-2907-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Michael Lees, Brian S. Logan 0001, John King |
Multiscale models of bacterial populations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WSC ![In: Proceedings of the Winter Simulation Conference, WSC 2007, Washington, DC, USA, December 9-12, 2007, pp. 881-890, 2007, WSC, 1-4244-1306-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | SungJoon Lee, A. Pandey, DongSeop Kim, Ajeet Rohatgi, Gary S. May, Sang Jeen Hong, Seung Soo Han |
Characterization and Optimization of the Contact Formation for High-Performance Silicon Solar Cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2007, 4th International Symposium on Neural Networks, ISNN 2007, Nanjing, China, June 3-7, 2007, Proceedings, Part III, pp. 246-251, 2007, Springer, 978-3-540-72394-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Seung Chul Han, Ye Xia 0001 |
Optimal Leader Election Scheme for Peer-to-Peer Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICN ![In: Sixth International Conference on Networking (ICN 2007), 22-28 April 2007, Sainte-Luce, Martinique, France, pp. 29, 2007, IEEE Computer Society, 978-0-7695-2805-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Roel Vertegaal |
Organic User Interfaces (Oui!): Designing Computers in Any Way Shape or Form. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UC ![In: Unconventional Computation, 6th International Conference, UC 2007, Kingston, Canada, August 13-17, 2007, Proceedings, pp. 28, 2007, Springer, 978-3-540-73553-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Milovan Suvakov, Bosiljka Tadic |
Simulation of the Electron Tunneling Paths in Networks of Nano-particle Films. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (2) ![In: Computational Science - ICCS 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part II, pp. 641-648, 2007, Springer, 978-3-540-72585-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
nano-particles, electron Tunneling Paths, network |
12 | Victor Ovchinnikov, Arri Priimagi |
Anisotropic Plasmon Resonance of Surface Metallic Nanostructures Prepared by Ion Beam Mixing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICQNM ![In: First International Conference on Quantum, Nano, and Micro Technologies, ICQNM 2007, January 2-6, 2007, Guadeloupe, French Caribbean, pp. 3, 2007, IEEE Computer Society, 0-7695-2759-0. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Yiming Ma, Dmitri V. Kalashnikov, Ramaswamy Hariharan, Sharad Mehrotra, Nalini Venkatasubramanian, Naveen Ashish, Jay Lickfett |
On-Demand Information Portals for Disaster Situations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISI ![In: IEEE International Conference on Intelligence and Security Informatics, ISI 2007, New Brunswick, New Jersey, USA, May 23-24, 2007, Proceedings, pp. 133-136, 2007, IEEE, 1-4244-1329-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Tsung-Ching Huang, Huai-Yuan Tseng, Chen-Pang Kung, Kwang-Ting Cheng |
Reliability Analysis for Flexible Electronics: Case Study of Integrated a-Si: H TFT Scan Driver. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 966-969, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Jing Li 0073, Kunhyuk Kang, Aditya Bansal, Kaushik Roy 0001 |
High Performance and Low Power Electronics on Flexible Substrate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 44th Design Automation Conference, DAC 2007, San Diego, CA, USA, June 4-8, 2007, pp. 274-275, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Kazuyuki Samejima, Kenji Doya |
Estimating Internal Variables of a Decision Maker's Brain: A Model-Based Approach for Neuroscience. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICONIP (1) ![In: Neural Information Processing, 14th International Conference, ICONIP 2007, Kitakyushu, Japan, November 13-16, 2007, Revised Selected Papers, Part I, pp. 596-603, 2007, Springer, 978-3-540-69154-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
12 | Robert J. Bonneau, George O. Ramseyer, Tom Renz, Claire Thiem |
A Mathematical Architecture for Molecular Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AIPR ![In: 36th Applied Imagery Pattern Recognition Workshop, AIPR 2007, Washington, DC, USA, October 10-12, 2007, Proceedings, pp. 80-86, 2007, IEEE Computer Society, 978-0-7695-3066-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
molecular computing |
12 | Arianna Menciassi, Dino Accoto, Samuele Gorini, Paolo Dario |
Development of a biomimetic miniature robotic crawler. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Auton. Robots ![In: Auton. Robots 21(2), pp. 155-163, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
SMA actuators, Crawling, Biomimetics, Microrobot |
12 | Mustafa Badaroglu, Geert Van der Plas, Piet Wambacq, Stéphane Donnay, Georges G. E. Gielen, Hugo De Man |
SWAN: high-level simulation methodology for digital substrate noise generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 14(1), pp. 23-33, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Jung-Chun Kao, Radu Marculescu |
On Optimization of E-Textile Systems Using Redundancy and Energy-Aware Routing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(6), pp. 745-756, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
pervasive computing, Electronic textile, energy-aware routing |
12 | Hongmei Li, Cole E. Zemke, Giorgos Manetas, Vladimir I. Okhmatovski, Elyse Rosenbaum, Andreas C. Cangellaris |
An automated and efficient substrate noise analysis tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(3), pp. 454-468, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Daniel Davids, Siddhartha Datta, Arindam Mukherjee 0001, Bharat Joshi, Arun Ravindran |
Multiple fault diagnosis in digital microfluidic biochips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM J. Emerg. Technol. Comput. Syst. ![In: ACM J. Emerg. Technol. Comput. Syst. 2(4), pp. 262-276, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Microfluidic biochip, droplet flooding, faults tolerance, testing, multiple fault |
12 | Arun N. Kumar, Yanning H. Han, Robert F. Kirsch, Louis F. Dell'Osso, W. Michael King, R. John Leigh |
Tests of Models for Saccade-Vergence Interaction using Novel Stimulus Conditions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Biol. Cybern. ![In: Biol. Cybern. 95(2), pp. 143-157, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Parameter estimation, Saccades, Vergence |
12 | Dean Ho |
Engineering Intelligent Materials for the Interrogation of Bio-robotic Architectures and Regulatory Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 1849-1854, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Du-Ming Tsai, Yan-Hsin Tseng, Shin-Min Chao, Chao-Hsuan Yen |
Independent component analysis based filter design for defect detection in low-contrast textured images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (2) ![In: 18th International Conference on Pattern Recognition (ICPR 2006), 20-24 August 2006, Hong Kong, China, pp. 231-234, 2006, IEEE Computer Society, 0-7695-2521-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Thomas Zahn, Jochen H. Schiller |
DHT-based Unicast for Mobile Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom Workshops ![In: 4th IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2006 Workshops), 13-17 March 2006, Pisa, Italy, pp. 179-183, 2006, IEEE Computer Society, 0-7695-2520-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Michael T. Niemier, Michael Crocker, Xiaobo Sharon Hu, Marya Lieberman |
Using CAD to shape experiments in molecular QCA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2006 International Conference on Computer-Aided Design, ICCAD 2006, San Jose, CA, USA, November 5-9, 2006, pp. 907-914, 2006, ACM, 1-59593-389-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Themistoklis Prodromakis, Christos Papavassiliou |
Distributed filter design on silicon CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2006), 21-24 May 2006, Island of Kos, Greece, 2006, IEEE, 0-7803-9389-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Dariusz Mrozek, Bozena Malysiak, Stanislaw Kozielski |
EAST: Energy Alignment Search Tool. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSKD ![In: Fuzzy Systems and Knowledge Discovery, Third International Conference, FSKD 2006, Xi'an, China, September 24-28, 2006, Proceedings, pp. 696-705, 2006, Springer, 3-540-45916-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Xiaojun Ma, Fabrizio Lombardi |
Multi-Site and Multi-Probe Substrate Testing on an ATE. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 495-506, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
substrate testing, multi-probe, ATE, MCM, manufacturing test, multi-site |
12 | Alain Tran, Mustapha Chérif-Eddine Yagoub |
Effects of Dipole Length on Dosimetric Probe Sensitivity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 98-101, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Mohamed Lamine Tounsi, Rachida Touhami, Mustapha Chérif-Eddine Yagoub |
Conception Des Coupleurs Coplanaires Multicouches En Bande Millimétrique Sur Des Substrats Anisotropes à Deux Niveaux De Métallisation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 594-597, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
12 | Ted H. Szymanski, Honglin Wu, Amir Gourgy |
Power complexity of multiplexer-based optoelectronic crossbar switches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(5), pp. 604-617, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | R. Iris Bahar, Mehdi Baradaran Tahoori, Sandeep K. Shukla, Fabrizio Lombardi |
Guest Editors' Introduction: Challenges for Reliable Design at the Nanoscale. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 22(4), pp. 295-297, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
nanoscale design, defect rates, reliability, verification, redundancy, Moore's Law |
12 | Stefan Birrer, Fabián E. Bustamante |
The Feasibility of DHT-based Streaming Multicast. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MASCOTS ![In: 13th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS 2005), 27-29 September 2005, Atlanta, GA, USA, pp. 288-298, 2005, IEEE Computer Society, 0-7695-2458-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | David L. Dill, Merrill Knapp, Pamela Gage, Carolyn L. Talcott, Keith Laderoute, Patrick Lincoln |
The Pathalyzer: A Tool for Analysis of Signal Transduction Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Systems Biology and Regulatory Genomics ![In: Systems Biology and Regulatory Genomics, Joint Annual RECOMB 2005 Satellite Workshops on Systems Biology and on Regulatory Genomics, San Diego, CA, USA; December 2-4, 2005, Revised Selected Papers, pp. 11-22, 2005, Springer, 978-3-540-48293-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Jung-Chun Kao, Radu Marculescu |
Energy-Aware Routing for E-Textile Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 184-189, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Kiyoharu Tagawa, Mikiyasu Masuoka, Masahiko Tsukamoto |
Robust optimum design of SAW filters with the Taguchi method and a memetic algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Congress on Evolutionary Computation ![In: Proceedings of the IEEE Congress on Evolutionary Computation, CEC 2005, 2-4 September 2005, Edinburgh, UK, pp. 2146-2153, 2005, IEEE, 0-7803-9363-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Grzegorz Blakiewicz, Marcin Jeske, Malgorzata Chrzanowska-Jeske, Jin S. Zhang |
Substrate noise modeling in early floorplanning of MS-SOCs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 819-823, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Scott Schneider 0001, Christos D. Antonopoulos, Dimitrios S. Nikolopoulos |
Factory: An Object-Oriented Parallel Programming Substrate for Deep Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, First International Conference, HPCC 2005, Sorrento, Italy, September 21-23, 2005, Proceedings, pp. 223-232, 2005, Springer, 3-540-29031-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Multithreading substrate, Object-oriented parallel programming, Deep parallel architectures, Multiparadigm parallelism, Portability, Programmability |
12 | Soo-Young Lee, Noppachai Anupongpaibool |
Optimization of Distributed Implementation of Grayscale Electron-Beam Proximity Effect Correction on a Temporally Heterogeneous Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 19th International Parallel and Distributed Processing Symposium (IPDPS 2005), CD-ROM / Abstracts Proceedings, 4-8 April 2005, Denver, CO, USA, 2005, IEEE Computer Society, 0-7695-2312-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Yang Woo Ko, Dongman Lee, Kyungran Kang |
Tunecast - Administratively Scoped Broadcast Received by Tuned Receivers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom Workshops ![In: 3rd IEEE Conference on Pervasive Computing and Communications Workshops (PerCom 2005 Workshops), 8-12 March 2005, Kauai Island, HI, USA, pp. 243-247, 2005, IEEE Computer Society, 0-7695-2300-5. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Jeffrey Horn |
Shape nesting by coevolving species. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation Conference, GECCO 2005, Proceedings, Washington DC, USA, June 25-29, 2005, pp. 557-558, 2005, ACM, 1-59593-010-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
cooperative-competitive evolution, shape nesting, genetic algorithm, evolutionary computation, coevolution, resource sharing, niching, cooperative coevolution, speciation, fitness sharing |
12 | Christian Beaudry, Michael E. Berens, Tarek A. El Doker, Anna M. Joy, Lina J. Karam, Zoé Lacroix, Jad A. Lutfi, Sai Motoru |
Automated Characterization of cellular migration phenomena. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB Workshops ![In: Fourth International IEEE Computer Society Computational Systems Bioinformatics Conference Workshops & Poster Abstracts, CSB 2005 Workshops, Stanford, CA, USA, August 8-11, 2005, pp. 185-186, 2005, IEEE Computer Society, 0-7695-2442-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | G. Sampath, James TenEyck |
Non-occurring and rare quads in PDB and translated introns from XPro with possible applications in nanostructure design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSB Workshops ![In: Fourth International IEEE Computer Society Computational Systems Bioinformatics Conference Workshops & Poster Abstracts, CSB 2005 Workshops, Stanford, CA, USA, August 8-11, 2005, pp. 256-257, 2005, IEEE Computer Society, 0-7695-2442-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Dariusz Mrozek, Bozena Malysiak, Jacek Fraczek, Pawel Kasprowski |
Signal Cascades Analysis in Nanoprocesses with Distributed Database System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Conference on Computational Science (3) ![In: Computational Science - ICCS 2005, 5th International Conference, Atlanta, GA, USA, May 22-25, 2005, Proceedings, Part III, pp. 334-341, 2005, Springer, 3-540-26044-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Wei Xu, Subhash C. Ayirala, Dandina N. Rao |
Compositional Dependence of Wetting and Contact Angles in Solid-Liquid-Liquid Systems under Realistic Environments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2005 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2005), 24-27 July 2005, Banff, Alberta, Canada, pp. 50, 2005, IEEE Computer Society, 0-7695-2398-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | A. Ababneh, Alidad Amirfazli, Janet A. W. Elliott |
Effect of Gravity on the Macroscopic Advancing Contact Angle: An Experimental Investigation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2005 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2005), 24-27 July 2005, Banff, Alberta, Canada, pp. 293-294, 2005, IEEE Computer Society, 0-7695-2398-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Liliana Félix, Gabriel Valiente, Francesc Rosselló |
Optimal Artificial Chemistries and Metabolic Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ENC ![In: Sixth Mexican International Conference on Computer Science (ENC 2005), 26-30 September 2005, Puebla, Mexico, pp. 298-305, 2005, IEEE Computer Society, 0-7695-2454-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Geoffrey C. Fox, Mehmet S. Aktas, Galip Aydin, Hasan Bulut, Harshawardhan Gadgil, Sangyoon Oh 0001, Shrideep Pallickara, Marlon E. Pierce, Ahmet Sayar, Gang Zhai |
Grids for Real Time Data Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPAM ![In: Parallel Processing and Applied Mathematics, 6th International Conference, PPAM 2005, Poznan, Poland, September 11-14, 2005, Revised Selected Papers, pp. 320-332, 2005, Springer, 3-540-34141-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Nicolò Manaresi, Gianni Medoro, Aldo Romani, Marco Tartagni, Roberto Guerrieri |
Beyond the microscope: embedded detectors for cell biology applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 2911-2914, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Rodrigo da Rosa Righi, Philippe Olivier Alexandre Navaux, Márcia C. Cera, Marcelo Pasin |
Asynchronous Communication in Java over Infiniband and DECK. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 17th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2005), 24-27 October 2005, Rio de Janeiro, Brazil, pp. 176-183, 2005, IEEE Computer Society, 0-7695-2446-X. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Joanna Berzowska, Marcelo Coelho |
Kukkia and Vilkas: Kinetic Electronic Garments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISWC ![In: Ninth IEEE International Symposium on Wearable Computers (ISWC 2005), 18-21 October 2005, Osaka, Japan, pp. 82-85, 2005, IEEE Computer Society, 0-7695-2419-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | Chenggang Xu, Ranjit Gharpurey, Terri S. Fiez, Kartikeya Mayaram |
A green function-based parasitic extraction method for inhomogeneous substrate layers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 141-146, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
green function, substrate noise, parasitic extraction |
12 | Cláudia Lemelle Fernandes, Diógenes Santiago Santos, Luiz Augusto Basso, Osmar Norberto de Souza |
Structure Prediction and Docking Studies of Chorismate Synthase from Mycobacterium Tuberculosis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BSB ![In: Advances in Bioinformatics and Computational Biology, Brazilian Symposium on Bioinformatics, BSB 2005, Sao Leopoldo, Brazil, July 27-29, 2005, Proceedings, pp. 118-127, 2005, Springer, 3-540-28008-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | David Cuesta-Frau, María Ángeles Hernández-Fenollosa, Pau Micó Tormos, Jordi Linares-Pellicer |
Segmentation of Nanocolumnar Crystals from Microscopic Images. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICIAR ![In: Image Analysis and Recognition, Second International Conference, ICIAR 2005, Toronto, Canada, September 28-30, 2005, Proceedings, pp. 55-62, 2005, Springer, 3-540-29069-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
12 | David J. Walkey, Tom J. Smy, Dritan Celo, Tom W. MacElwee, Michael C. Maliepaard |
Compact, netlist-based representation of thermal transient coupling using controlled sources. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(11), pp. 1593-1596, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Guangyi Shi, Qiang Huang 0002, Wen J. Li, Wenqian Huang, Gengchen Shi, Kejie Li |
Towards Automated Micromachining of PMMA Micro Channels using CO/Sub 2/ Laser and Sacrificial Mask Process. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 57-62, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Debayan Bhaduri, Sandeep K. Shukla |
NANOLAB: A Tool for Evaluating Reliability of Defect-Tolerant Nano Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISVLSI ![In: 2004 IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2004), Emerging Trends in VLSI Systems Design, 19-20 February 2004, Lafayette, LA, USA, pp. 25-31, 2004, IEEE Computer Society, 0-7695-2097-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Weng Khuen Ho, Arthur Tay, Ying Zhou, Kai Yang, Ni Hu |
Detection of wafer warpages during thermal processing in microlithography. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICARCV ![In: 8th International Conference on Control, Automation, Robotics and Vision, ICARCV 2004, Kunming, China, 6-9 December 2004, Proceedings, pp. 485-490, 2004, IEEE, 0-7803-8653-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Clint Morgan, Darko Stefanovic, Cristopher Moore, Milan N. Stojanovic |
Building the Components for a Biomolecular Computer. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DNA ![In: DNA Computing, 10th International Workshop on DNA Computing, DNA 10, Milan, Italy, June 7-10, 2004, Revised Selected Papers, pp. 247-257, 2004, Springer, 3-540-26174-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Noppachai Anupongpaibool, Soo-Young Lee |
Distributed Correction of Proximity Effect in Electron Beam Lithography on a Heterogeneous Cluster. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Christof Teuscher |
Outlining an Unconventional, Adaptive, and Particle-Based Reconfigurable Computer Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UPP ![In: Unconventional Programming Paradigms, International Workshop UPP 2004, Le Mont Saint Michel, France, September 15-17, 2004, Revised Selected and Invited Papers, pp. 238-253, 2004, Springer, 3-540-27884-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Karol Warne, Girijesh Prasad, Mia Nazmul Haque Siddique, Liam P. Maguire |
Development of a hybrid PCA-ANFIS measurement system for monitoring product quality in the coating industry. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (4) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 3519-3524, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Himabindu Pucha, Saumitra M. Das, Y. Charlie Hu |
Ekta: An Efficient DHT Substrate for Distributed Applications in Mobile Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WMCSA ![In: 6th IEEE Workshop on Mobile Computing Systems and Applications (WMCSA 2004), 2-10 December 2004, Lake District National Park, UK, pp. 163-173, 2004, IEEE Computer Society, 0-7695-2258-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Holger Merlitz, Wolfgang Wenzel |
High Throughput in-silico Screening against Flexible Protein Receptors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part III, pp. 465-472, 2004, Springer, 3-540-22057-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Patrick Doherty 0001, Steve Kertes, Martin Magnusson 0001, Andrzej Szalas |
Towards a Logical Analysis of Biochemical Pathways. ![Search on Bibsonomy](Pics/bibsonomy.png) |
JELIA ![In: Logics in Artificial Intelligence, 9th European Conference, JELIA 2004, Lisbon, Portugal, September 27-30, 2004, Proceedings, pp. 667-679, 2004, Springer, 3-540-23242-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Debayan Bhaduri, Sandeep K. Shukla |
NANOPRISM: a tool for evaluating granularity vs. reliability trade-offs in nano architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 109-112, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CTMR, defect-tolerant architecture, nanotechnology, granularity, TMR, PRISM |
12 | Ranjit Gharpurey, Edoardo Charbon |
Substrate Coupling: Modeling, Simulation and Design Perspectives. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 5th International Symposium on Quality of Electronic Design (ISQED 2004), 22-24 March 2004, San Jose, CA, USA, pp. 283-290, 2004, IEEE Computer Society, 0-7695-2093-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
digital noise, impact ionization, constraint-driven optimization, fast fourier transform, discrete cosine transform, finite element method, boundary element method, power supply noise, substrate noise, substrate coupling |
12 | Hung-Chang Hsiao, Mark Baker, Chung-Ta King |
A Peer-to-Peer Mechanism for Resource Location and Allocation Over the Grid. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPA ![In: Parallel and Distributed Processing and Applications, Second InternationalSymposium, ISPA 2004, Hong Kong, China, December 13-15, 2004, Proceedings, pp. 604-614, 2004, Springer, 3-540-24128-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | William R. Mann, Frederick L. Taber, Philip W. Seitzer, Jerry J. Broz |
The Leading Edge of Production Wafer Probe Test Technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ITC ![In: Proceedings 2004 International Test Conference (ITC 2004), October 26-28, 2004, Charlotte, NC, USA, pp. 1168-1195, 2004, IEEE Computer Society, 0-7803-8581-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | Francesc Rosselló, Gabriel Valiente |
Analysis of Metabolic Pathways by Graph Transformation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICGT ![In: Graph Transformations, Second International Conference, ICGT 2004, Rome, Italy, September 28 - October 2, 2004, Proceedings, pp. 70-82, 2004, Springer, 3-540-23207-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
12 | David J. Walkey, Dritan Celo, Tom J. Smy |
A simplified model for the effect of interfinger metal on maximum temperature rise in a multifinger bipolar transistor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(1), pp. 15-25, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Menno Lindwer, Diana Marculescu, Twan Basten, Rainer Zimmermann, Radu Marculescu, Stefan Jung, Eugenio Cantatore |
Ambient Intelligence Visions and Achievements: Linking Abstract Ideas to Real-World Concepts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2003 Design, Automation and Test in Europe Conference and Exposition (DATE 2003), 3-7 March 2003, Munich, Germany, pp. 10010-10017, 2003, IEEE Computer Society, 0-7695-1870-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Rongmei Zhang, Y. Charlie Hu |
Anycast in Locality-Aware Peer-to-Peer Overlay Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Networked Group Communication ![In: Group Communications and Charges; Technology and Business Models, 5th COST264 International Workshop on Networked Group Communications, NGC 2003, and 3rd International Workshop on Internet Charging and QoS Technologies, ICQT 2003, Munich, Germany, September 16-19, 2003, Proceedings, pp. 34-46, 2003, Springer, 3-540-20051-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Dawid Kurzyniec, Tomasz Wrzosek, Vaidy S. Sunderam, Aleksander Slominski |
RMIX: A Multiprotocol RMI Framework for Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 140, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Ajoy Kumar Datta, Maria Gradinariu, Michel Raynal, Gwendal Simon |
Anonymous Publish/Subscribe in P2P Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 74, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Andrew Twigg |
A Subjective Approach to Routing in P2P and Ad Hoc Networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
iTrust ![In: Trust Management, First International Conference, iTrust 2003, Heraklion, Crete, Greece, May 28-30, 2002, Proceedings, pp. 225-238, 2003, Springer, 3-540-40224-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Gregory Hornby |
Generative Representations for Evolving Families of Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GECCO ![In: Genetic and Evolutionary Computation - GECCO 2003, Genetic and Evolutionary Computation Conference, Chicago, IL, USA, July 12-16, 2003. Proceedings, Part II, pp. 1678-1689, 2003, Springer, 3-540-40603-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Robert M. Bryce, Ray G. DeCorby, James N. McMullin, Ying Y. Tsui |
Direct Production of Gratings on Polymers Using UV Laser Radiation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2003 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2003), 20-23 July 2003, Banff, Alberta, Canada, pp. 172-176, 2003, IEEE Computer Society, 0-7695-1947-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Jonghae Kim, Jean-Olivier Plouchart, Noah Zamdmer, Melanie Sherony, Yue Tan, Meeyoung Yoon, Robert Trzcinski, Mohamed Talbi, John Safran, Asit Ray, Lawrence F. Wagner |
A power-optimized widely-tunable 5-GHz monolithic VCO in a digital SOI CMOS technology on high resistivity substrate. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 434-439, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
SOI CMOS, high resistivity substrate, phase NoiseFOM, low power, VCO, RF design |
12 | Alyssa B. Apsel, Eugenio Culurciello, Andreas G. Andreou, K. Aliberti |
Thin film PIN photodiodes for optoelectronic silicon on sapphire CMOS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 908-911, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Pamela Abshire, Jean-Marie Lauestein, Yingkai Liu, Elisabeth Smela |
Cell clinics for bioelectronic interface with single cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 2003 International Symposium on Circuits and Systems, ISCAS 2003, Bangkok, Thailand, May 25-28, 2003, pp. 618-621, 2003, IEEE, 0-7803-7761-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Juho Rousu, Ari Rantanen, Hannu Maaheimo, Esa Pitkänen, Katja Saarela, Esko Ukkonen |
A Method for Estimating Metabolic Fluxes from Incomplete Isotopomer Information. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CMSB ![In: Computational Methods in Systems Biology, First International Workshop, CMSB 2003, Roverto, Italy, February 24-26, 2003, Proceedings, pp. 88-103, 2003, Springer, 3-540-00605-2. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
12 | Yehia Massoud, Jacob K. White 0001 |
Simulation and modeling of the effect of substrate conductivity on coupling inductance and circuit crosstalk. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 10(3), pp. 286-291, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Michael Butts, André DeHon, Seth Copen Goldstein |
Molecular electronics: devices, systems and tools for gigagate, gigabit chips. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 433-440, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | Paul Gray, Anthony Betz |
Performance Evaluation of Copper-Based Gigabit Ethernet Interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCN ![In: 27th Annual IEEE Conference on Local Computer Networks (LCN 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings, pp. 679-690, 2002, IEEE Computer Society, 0-7695-1591-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
12 | David E. Bakken, Zhiyuan Zhan, Christopher C. Jones, David A. Karr |
Middleware Support for Voting and Data Fusion. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2001 International Conference on Dependable Systems and Networks (DSN 2001) (formerly: FTCS), 1-4 July 2001, Göteborg, Sweden, Proceedings, pp. 453-462, 2001, IEEE Computer Society, 0-7695-1101-5. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Mark Ptashne |
Imposing specificity by regulated localization (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
RECOMB ![In: Proceedings of the Fifth Annual International Conference on Computational Biology, RECOMB 2001, Montréal, Québec, Canada, April 22-25, 2001, pp. 268, 2001, ACM, 1-58113-353-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | C. A. Rodrigues, Sílvia Cristina Dias Pinto, Luciano da Fontoura Costa, Roberto Mendonça Faria, Nara Cristina de Souza, Osvaldo N. Oliveira Jr., Ivan Helmuth Bechtold, Elisabeth Andreoli de Oliveira, Jean Jacques Bonvent |
Advanced Image Characterization in Scanning Probe Microscopy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIBGRAPI ![In: 14th Brazilian Symposium on Computer Graphics and Image Processing (SIBGRAPI 2001), 15-18 October 2001, Florianopolis, Brazil, pp. 393, 2001, IEEE Computer Society, 0-7695-1330-1. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | J. W. McPherson |
Scaling-Induced Reductions in CMOS Reliability Margins and the Escalating Need for Increased Design-In Reliability Efforts. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 2nd International Symposium on Quality of Electronic Design (ISQED 2001), 26-28 March 2001, San Jose, CA, USA, pp. 123-130, 2001, IEEE Computer Society, 0-7695-1025-6. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
12 | Mehdi M. Mechaik |
Effects of Package Stackups on Microprocessor Performance. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 1st International Symposium on Quality of Electronic Design (ISQED 2000), 20-22 March 2000, San Jose, CA, USA, pp. 475-, 2000, IEEE Computer Society, 0-7695-0525-2. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
12 | Rajesh Pendurkar, Craig A. Tovey, Abhijit Chatterjee |
Single-probe traversal optimization for testing of MCM substrate interconnections. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 18(8), pp. 1178-1191, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
12 | T. A. García, Antonio J. Acosta 0001, J. M. Mora, J. Ramos, José Luis Huertas |
Self-Timed Boundary-Scan Cells for Multi-Chip Module Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 15(1-2), pp. 115-127, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
self-timed CMOS design, testing interconnections, boundary-scan, MCM testing |
12 | José Duato, Sudhakar Yalamanchili, María Blanca Caminero, Damon S. Love, Francisco J. Quiles 0001 |
MMR: A High-Performance Multimedia Router - Architecture and Design Trade-Offs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Fifth International Symposium on High-Performance Computer Architecture, Orlando, FL, USA, January 9-12, 1999, pp. 300-309, 1999, IEEE Computer Society, 0-7695-0004-8. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
Displaying result #901 - #1000 of 1016 (100 per page; Change: ) Pages: [ <<][ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ 11][ >>] |
|