|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 380 occurrences of 289 keywords
|
|
|
Results
Found 480 publication records. Showing 479 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
155 | Vincent J. DiGri, Jane E. King |
The Share 709 System: Input-Output Translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. ACM ![In: J. ACM 6(2), pp. 141-144, 1959. The full citation details ...](Pics/full.jpeg) |
1959 |
DBLP DOI BibTeX RDF |
|
119 | Oleg Kiselyov |
Macros That Compose: Systematic Macro Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, ACM SIGPLAN/SIGSOFT Conference, GPCE 2002, Pittsburgh, PA, USA, October 6-8, 2002, Proceedings, pp. 202-217, 2002, Springer, 3-540-44284-7. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
106 | Steven E. Ganz, Amr Sabry, Walid Taha |
Macros as Multi-Stage Computations: Type-Safe, Generative, Binding Macros in MacroML. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Sixth ACM SIGPLAN International Conference on Functional Programming (ICFP '01), Firenze (Florence), Italy, September 3-5, 2001., pp. 74-85, 2001, ACM, 1-58113-415-0. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
ML |
88 | Gregory A. Frascadore |
Combining explanation based generalization with the learning of macro operators (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 15th ACM Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987, pp. 386, 1987, ACM, 0-89791-218-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
|
86 | Ryan Culpepper, Matthias Felleisen |
Debugging macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, 6th International Conference, GPCE 2007, Salzburg, Austria, October 1-3, 2007, Proceedings, pp. 135-144, 2007, ACM, 978-1-59593-855-8. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
85 | Andhy Koesnandar, Sebastian G. Elbaum, Gregg Rothermel, Lorin Hochstein, Christopher Scaffidi, Kathryn T. Stolee |
Using assertions to help end-user programmers create dependable web macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGSOFT FSE ![In: Proceedings of the 16th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2008, Atlanta, Georgia, USA, November 9-14, 2008, pp. 124-134, 2008, ACM, 978-1-59593-995-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
web macros, dependability, programming by demonstration, assertions, end user software engineering |
75 | Daniel Weise, Roger F. Crew |
Programmable Syntax Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN'93 Conference on Programming Language Design and Implementation (PLDI), Albuquerque, New Mexico, USA, June 23-25, 1993, pp. 156-165, 1993, ACM, 0-89791-598-4. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
C |
66 | Saurabh N. Adya, Igor L. Markov |
Combinatorial techniques for mixed-size placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 10(1), pp. 58-90, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
VLSI, placement, floorplanning |
64 | Ryan Culpepper, Matthias Felleisen |
Taming Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering: Third International Conference, GPCE 2004, Vancouver, Canada, October 24-28, 2004. Proceedings, pp. 225-243, 2004, Springer, 3-540-23580-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
64 | Alessandro Bogliolo, Enrico Macii, Virgil Mihailovici, Massimo Poncino |
Power Models for Semi-autonomous RTL Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit Design, Power and Timing Modeling, Optimization and Simulation, 10th International Workshop, PATMOS 2000, Göttingen, Germany, September 13-15, 2000, Proceedings, pp. 14-23, 2000, Springer, 3-540-41068-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
55 | Lourdes Peña Castillo, Stefan Wrobel |
A comparative study on methods for reducing myopia of hill-climbing search in multirelational learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICML ![In: Machine Learning, Proceedings of the Twenty-first International Conference (ICML 2004), Banff, Alberta, Canada, July 4-8, 2004, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
55 | Saurabh N. Adya, Igor L. Markov |
Consistent placement of macro-blocks using floorplanning and standard-cell placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPD ![In: Proceedings of 2002 International Symposium on Physical Design, ISPD 2002, Del Mar, CA, USA, April 7-10, 2002, pp. 12-17, 2002, ACM, 1-58113-460-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
54 | Alessandro Bogliolo, Luca Benini, Giovanni De Micheli |
Regression-based RTL power modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 5(3), pp. 337-372, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
RTL power modeling, adaptive characterization, functional macros, regression models, RTL design |
54 | Peter M. Kogge, Toshio Sunaga, Hisatada Miyataka, Koji Kitamura, Eric Retter |
Combined DRAM and logic chip for massively parallel systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARVLSI ![In: 16th Conference on Advanced Research in VLSI (ARVLSI '95), March 27-29, 1995, Chapel Hill, North Carolina, USA, pp. 4-16, 1995, IEEE Computer Society, 0-8186-7047-9. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
EXECUBE, custom circuits, high density memory, PIM chip, Processor-In-Memory computer architecture, logic chip, 0.8 micron, 2.7 W, 25 MHz, 5 V, 50 MIPS, embedded systems, parallel architectures, CMOS logic circuits, microprocessor chips, CMOS technology, CPU, macros, massively parallel processing, DRAM chips, DRAM chip, CMOS memory circuits |
53 | Burt M. Leavenworth |
Syntax macros and extended translation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 9(11), pp. 790-793, 1966. The full citation details ...](Pics/full.jpeg) |
1966 |
DBLP DOI BibTeX RDF |
|
52 | Matthew Flatt |
Composable and compilable macros: : you want it when? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Seventh ACM SIGPLAN International Conference on Functional Programming (ICFP '02), Pittsburgh, Pennsylvania, USA, October 4-6, 2002., pp. 72-83, 2002, ACM, 1-58113-487-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
language tower, modules, macros |
51 | A. F. Macros, Charles Albert Wüthrich, Pedro Faria Lopes |
Introduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Comput. Graph. ![In: Comput. Graph. 29(6), pp. 835-836, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
44 | Bill McCloskey, Eric A. Brewer |
ASTEC: a new approach to refactoring C. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESEC/SIGSOFT FSE ![In: Proceedings of the 10th European Software Engineering Conference held jointly with 13th ACM SIGSOFT International Symposium on Foundations of Software Engineering, 2005, Lisbon, Portugal, September 5-9, 2005, pp. 21-30, 2005, ACM, 1-59593-014-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
ASTEC, C, refactoring, translation, macro, preprocessor |
44 | Richard Kelsey, William D. Clinger, Jonathan Rees |
Revised5 Report on the Algorithmic Language Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 33(9), pp. 26-76, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
SCHEME |
44 | Wing K. Luk, Donald T. Tang, C. K. Wong |
Hierarchial global wiring for custom chip design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 481-489, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
43 | Floraine Grabler, Maneesh Agrawala, Wilmot Li, Mira Dontcheva, Takeo Igarashi |
Generating photo manipulation tutorials by demonstration. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Graph. ![In: ACM Trans. Graph. 28(3), pp. 66, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
photo-editing, programming-by-demonstration, tutorials, macros |
43 | David Fisher, Olin Shivers |
Static analysis for syntax objects. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 11th ACM SIGPLAN International Conference on Functional Programming, ICFP 2006, Portland, Oregon, USA, September 16-21, 2006, pp. 111-121, 2006, ACM, 1-59593-309-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
extensible programming languages, language towers, lazy delegation, static analysis, domain-specific languages, type inference, flow analysis, macros |
43 | David Kurlander, Steven Feiner |
A History-Based Macro by Example System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Symposium on User Interface Software and Technology ![In: Proceedings of the Fifth ACM Symposium on User Interface Software and Technology, UIST 1992, Monteray, CA, USA, November 15-18, 1992, pp. 99-106, 1992, ACM, 0-89791-549-6. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
demonstrational techniques, histories, programming by example, macros, graphical representations |
42 | Hubie Chen, Omer Giménez |
On-the-Fly Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WoLLIC ![In: Logic, Language, Information and Computation, 16th International Workshop, WoLLIC 2009, Tokyo, Japan, June 21-24, 2009. Proceedings, pp. 155-169, 2009, Springer, 978-3-642-02260-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
42 | David Herman, Mitchell Wand |
A Theory of Hygienic Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ESOP ![In: Programming Languages and Systems, 17th European Symposium on Programming, ESOP 2008, Held as Part of the Joint European Conferences on Theory and Practice of Software, ETAPS 2008, Budapest, Hungary, March 29-April 6, 2008. Proceedings, pp. 48-62, 2008, Springer, 978-3-540-78738-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
42 | Venkat Rao Vallapenani, Ravi Shankar Chevuri, Bingxiong Xu, Lun Ye, Kanad Chakraborty |
Efficient Techniques for Noise Characterization of Sequential Cells and Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 19th International Conference on VLSI Design (VLSI Design 2006), 3-7 January 2006, Hyderabad, India, pp. 363-368, 2006, IEEE Computer Society, 0-7695-2502-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Alan Bawden |
First-Class Macros have Types. ![Search on Bibsonomy](Pics/bibsonomy.png) |
POPL ![In: POPL 2000, Proceedings of the 27th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages, Boston, Massachusetts, USA, January 19-21, 2000, pp. 133-141, 2000, ACM, 1-58113-125-9. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
42 | Michael Gansen, Frank Richter, Oliver Weiss, Tobias G. Noll |
A Datapath Generator for Full-Custom Macros of Iterative Logic Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 1997 International Conference on Application-Specific Systems, Architectures, and Processors (ASAP '97), 14-16 July 1997, Zurich, Switzerland, pp. 438-447, 1997, IEEE Computer Society, 0-8186-7958-1. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
40 | Steven Roman |
Writing Excel macros - developing macros to automate and customize Excel: covers office 97 and 2000. ![Search on Bibsonomy](Pics/bibsonomy.png) |
|
1999 |
RDF |
|
40 | Charles A. Grant |
Syntax translation with context macros or macros without arguments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
International Symposium on Extensible Languages ![In: Proceedings of the International Symposium on Extensible Languages, Grenoble, France, September 6-8, 1971, pp. 45-47, 1971, ACM, 978-1-4503-7372-2. The full citation details ...](Pics/full.jpeg) |
1971 |
DBLP DOI BibTeX RDF |
|
33 | Tung-Chieh Chen, Ping-Hung Yuh, Yao-Wen Chang, Few-Juh Huang, T.-Y. Liu |
MP-Trees: A Packing-Based Macro Placement Algorithm for Modern Mixed-Size Designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(9), pp. 1621-1634, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Masahiro Fujita, Satoshi Komatsu, Hiroshi Saito, Kenshu Seto, Thanyapat Sakunkonchak, Yoshihisa Kojima |
Field Modifiable Architecture with FPGAs and its Design/Verification/Debugging Methodologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 36th Hawaii International Conference on System Sciences (HICSS-36 2003), CD-ROM / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, USA, pp. 279, 2003, IEEE Computer Society, 0-7695-1874-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
33 | Mitsuru Igusa, Mark Beardslee, Alberto L. Sangiovanni-Vincentelli |
ORCA a Sea-of-Gates Place and Route System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989., pp. 122-127, 1989, ACM Press. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
33 | Clinton R. Foulk |
Teaching assembly language on the Macintosh (abstract only). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the 15th ACM Annual Conference on Computer Science, St. Louis, Missouri, USA, February 16-19, 1987, pp. 429, 1987, ACM, 0-89791-218-7. The full citation details ...](Pics/full.jpeg) |
1987 |
DBLP DOI BibTeX RDF |
Macintosh |
33 | Roger A. Grice |
Use of format models and generic outlines to develop source material. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGDOC ![In: Proceedings of the 2nd Annual International Conference on Systems Documentation, SIGDOC 1983, Seattle, Washington, USA, April 28-30, 1983, pp. 41-46, 1983, ACM, 0-89791-129-6. The full citation details ...](Pics/full.jpeg) |
1983 |
DBLP DOI BibTeX RDF |
|
32 | Nieraj Singh, Graeme Johnson, Yvonne Coady |
CViMe: viewing conditionally compiled C/C++ sources through Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2006, October 22-26, 2006, Portland, Oregon, USA, pp. 730-731, 2006, ACM, 1-59593-491-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
C preprocessor, Java object modeling, source views, modularity, refactoring, reusability, macros, crosscutting concerns, editors, Eclipse plug-ins, conditional compilation |
32 | David Herman, Philippe Meunier |
Improving the static analysis of embedded languages via partial evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the Ninth ACM SIGPLAN International Conference on Functional Programming, ICFP 2004, Snow Bird, UT, USA, September 19-21, 2004, pp. 16-27, 2004, ACM, 1-58113-905-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
value flow analysis, partial evaluation, macros, embedded languages |
32 | Amauri A. Arroyo, D. G. Childers |
A modular software real-time brain wave detection system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Southeast Regional Conference ![In: Proceedings of the 20th Annual Southeast Regional Conference, 1982, Knoxville, Tennessee, USA, April 1-3, 1982, pp. 126-131, 1982, ACM, 0-89791-071-0. The full citation details ...](Pics/full.jpeg) |
1982 |
DBLP DOI BibTeX RDF |
Real-time signal processing, command macros, common database, external controls, feature extraction classification, single EEG records, menus, structured design |
31 | Sumanta Chaudhuri, Weisheng Zhao, Jacques-Olivier Klein, Claude Chappert, Pascale Mazoyer |
Design of embedded MRAM macros for memory-in-logic applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 155-158, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
thermally assisted switching (tas), architecture, low power, system on chip (soc), embedded, mram, non-volatile |
31 | |
Web Macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Encyclopedia of Database Systems ![In: Encyclopedia of Database Systems, pp. 3482, 2009, Springer US, 978-0-387-35544-3. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
31 | Yevgen Borodin |
Automation of repetitive web browsing tasks with voice-enabled macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASSETS ![In: Proceedings of the 10th International ACM SIGACCESS Conference on Computers and Accessibility, ASSETS 2008, Halifax, Nova Scotia, Canada, October 13-15, 2008, pp. 307-308, 2008, ACM, 978-1-59593-976-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
macro player, macro recorder, web browser, blind users, screen reader, audio interface, non-visual, hearsay |
31 | Julian J. H. Pontes, Rafael Soares, Ewerson Carvalho, Fernando Moraes 0001, Ney Calazans |
SCAFFI: An intrachip FPGA asynchronous interface based on hard macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 541-546, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Lisa Torrey, Jude W. Shavlik, Trevor Walker, Richard Maclin |
Relational Macros for Transfer in Reinforcement Learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ILP ![In: Inductive Logic Programming, 17th International Conference, ILP 2007, Corvallis, OR, USA, June 19-21, 2007, Revised Selected Papers, pp. 254-268, 2007, Springer, 978-3-540-78468-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
31 | Luca Benini, Alessandro Ivaldi, Alberto Macii, Enrico Macii |
Block-Enabled Memory Macros: Design Space Exploration and Application-Specific Tuning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2004 Design, Automation and Test in Europe Conference and Exposition (DATE 2004), 16-20 February 2004, Paris, France, pp. 698-699, 2004, IEEE Computer Society, 0-7695-2085-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Jing Zhang 0006, Sue R. Faerman |
The Nature of Knowledge and Its Influence on Knowledge Sharing Practice: Experiences from Building the MACROS System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS ![In: 37th Hawaii International Conference on System Sciences (HICSS-37 2004), CD-ROM / Abstracts Proceedings, 5-8 January 2004, Big Island, HI, USA, 2004, IEEE Computer Society, 0-7695-2056-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
31 | Alessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino |
Parameterized RTL power models for soft macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 9(6), pp. 880-887, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Mario Träber |
A novel ACS-feedback scheme for generic, sequential Viterbi-decoder macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: Proceedings of the 2001 International Symposium on Circuits and Systems, ISCAS 2001, Sydney, Australia, May 6-9, 2001, pp. 210-213, 2001, IEEE, 0-7803-6685-9. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
31 | Shriram Krishnamurthi, Matthias Felleisen, Bruce F. Duba |
From Macros to Reusable Generative Programming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GCSE ![In: Generative and Component-Based Software Engineering, First International Symposium, GCSE'99, Erfurt, Germany, September 28-30, 1999, Revised Papers, pp. 105-120, 1999, Springer, 3-540-41172-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | Alessandro Bogliolo, Roberto Corgnati, Enrico Macii, Massimo Poncino |
Parameterized RTL power models for combinational soft macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 1999 IEEE/ACM International Conference on Computer-Aided Design, 1999, San Jose, California, USA, November 7-11, 1999, pp. 284-288, 1999, IEEE Computer Society, 0-7803-5832-5. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
31 | V. Kaal, Hans G. Kerkhoff |
Compact structural test generation for analog macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ED&TC ![In: European Design and Test Conference, ED&TC '97, Paris, France, 17-20 March 1997, pp. 581-587, 1997, IEEE Computer Society, 0-8186-7786-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
31 | Hidekazu Terai, Michiyoshi Hayase, Tokinori Kozawa |
A routing procedure for mixed array of custom macros and standard cells. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 22nd ACM/IEEE conference on Design automation, DAC 1985, Las Vegas, Nevada, USA, 1985., pp. 503-508, 1985, ACM, 0-8186-0635-5. The full citation details ...](Pics/full.jpeg) |
1985 |
DBLP DOI BibTeX RDF |
|
31 | Richard K. Bennett, H. David Neumann |
Extension of existing compilers by sophisticated use of macros. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Commun. ACM ![In: Commun. ACM 7(9), pp. 541-542, 1964. The full citation details ...](Pics/full.jpeg) |
1964 |
DBLP DOI BibTeX RDF |
|
22 | Jeffrey M. Carver, Richard Neil Pittman, Alessandro Forin |
Automatic bus macro placement for partially reconfigurable FPGA designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 17th International Symposium on Field Programmable Gate Arrays, FPGA 2009, Monterey, California, USA, February 22-24, 2009, pp. 269-272, 2009, ACM, 978-1-60558-410-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
emips, reconfigurable computing, dynamic partial reconfiguration, floor-planning |
22 | Jason Cong, Min Xie 0004 |
A Robust Mixed-Size Legalization and Detailed Placement Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(8), pp. 1349-1362, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Michael T. Frederick, Arun K. Somani |
Beyond the arithmetic constraint: depth-optimal mapping of logic chains in LUT-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 16th International Symposium on Field Programmable Gate Arrays, FPGA 2008, Monterey, California, USA, February 24-26, 2008, pp. 37-46, 2008, ACM, 978-1-59593-934-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
carry chain, depth optimal mapping, logic chain |
22 | Bernd Neumann, Thorsten von Sydow, Holger Blume, Tobias G. Noll |
Design flow for embedded FPGAs based on a flexible architecture template. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: Design, Automation and Test in Europe, DATE 2008, Munich, Germany, March 10-14, 2008, pp. 56-61, 2008, ACM, 978-3-9810801-3-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Jani Metsä, Mika Katara, Tommi Mikkonen |
Comparing Aspects with Conventional Techniques for Increasing Testability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICST ![In: First International Conference on Software Testing, Verification, and Validation, ICST 2008, Lillehammer, Norway, April 9-11, 2008, pp. 387-395, 2008, IEEE Computer Society, 978-0-7695-3127-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
testing, aspects |
22 | Xing Wei, Juanjuan Chen, Qiang Zhou 0001, Yici Cai, Jinian Bian, Xianlong Hong |
MacroMap: A technology mapping algorithm for heterogeneous FPGAs with effective area estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPL ![In: FPL 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008, pp. 559-562, 2008, IEEE, 978-1-4244-1961-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
22 | Zhe-Wei Jiang, Bor-Yiing Su, Yao-Wen Chang |
Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 167-172, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
placement, physical design, routability |
22 | Xiaowen Li, Xinkai Chen, Xiang Xie, Guolin Li, Li Zhang 0023, Zhihua Wang 0001 |
Pre-Processing and Vector Quantization Based Approach for CFA Data Compression in Wireless Endoscopy Capsule. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISBI ![In: Proceedings of the 2007 IEEE International Symposium on Biomedical Imaging: From Nano to Macro, Washington, DC, USA, April 12-16, 2007, pp. 1172-1175, 2007, IEEE, 1-4244-0672-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
22 | Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov |
Min-cut floorplacement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(7), pp. 1313-1326, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Natarajan Viswanathan, Min Pan, Chris C. N. Chu |
FastPlace 2.0: an efficient analytical placer for mixed-mode designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2006 Conference on Asia South Pacific Design Automation: ASP-DAC 2006, Yokohama, Japan, January 24-27, 2006, pp. 195-200, 2006, IEEE, 0-7803-9451-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
22 | Prassanna Sithambaram, Alberto Macii, Enrico Macii |
Design and Implementation of a Memory Generator for Low-Energy Application-Specific Block-Enabled SRAMs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation, 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings, pp. 477-487, 2005, Springer, 3-540-29013-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
22 | Renqiu Huang, Ranga Vemuri |
Forward-Looking Macro Generation and Relational Placement During High Level Synthesis to FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
critical net, performance, placement, Behavioral synthesis, macro |
22 | Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov |
Unification of partitioning, placement and floorplanning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2004 International Conference on Computer-Aided Design, ICCAD 2004, San Jose, CA, USA, November 7-11, 2004, pp. 550-557, 2004, IEEE Computer Society / ACM, 0-7803-8702-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Nikolaus Voß, Bärbel Mertsching |
A Framework for Low Power Audio Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 17th International Conference on VLSI Design (VLSI Design 2004), with the 3rd International Conference on Embedded Systems Design, 5-9 January 2004, Mumbai, India, pp. 1048-, 2004, IEEE Computer Society, 0-7695-2072-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
22 | Elham Safi, Zohreh Karimi, Maghsoud Abbaspour, Zainalabedin Navabi |
Utilizing Various ADL Facets for Instruction Level CPU Test. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MTV ![In: Fourth International Workshop on Microprocessor Test and Verification, Common Challenges and Solutions (MTV 2003), May 29-30, 2003, Hyatt Town Lake Hotel, Austin, Texas, USA, pp. 38-, 2003, IEEE Computer Society, 0-7695-2045-6. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jürgen Stuber, Mark van den Brand |
Extracting Mathematical Semantics from Documents. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PPSWR ![In: Principles and Practice of Semantic Web Reasoning, International Workshop, PPSWR 2003, Mumbai, India, December 8, 2003, Proceedings, pp. 160-173, 2003, Springer, 3-540-20582-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Walid Taha, Patricia Johann |
Staged Notational Definitions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, Second International Conference, GPCE 2003, Erfurt, Germany, September 22-25, 2003, Proceedings, pp. 97-116, 2003, Springer, 3-540-20102-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Jean-Marie Favre |
CPP Denotational Semantics. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SCAM ![In: 3rd IEEE International Workshop on Source Code Analysis and Manipulation (SCAM 2003), 26-27 September 2003, Amsterdam, The Netherlands, pp. 22-, 2003, IEEE Computer Society, 0-7695-2005-7. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
22 | Qiao Liu 0007, Keiichi Nakata, Kazuo Furuta |
Display Design of Process Systems Based on Functional Modelling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Cogn. Technol. Work. ![In: Cogn. Technol. Work. 4(1), pp. 48-63, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Functional macro, Functional primitives, Process systems, Interface design, Functional modelling, Visual display |
22 | Uwe Aßmann |
Beyond Generic Component Parameters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Component Deployment ![In: Component Deployment, IFIP/ACM Working Conference, CD 2002, Berlin, Germany, June 20-21, 2002, Proceedings, pp. 141-154, 2002, Springer, 3-540-43847-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Michiko Inoue, Chikateru Jinno, Hideo Fujiwara |
An Extended Class of Sequential Circuits with Combinational Test Generation Complexity. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 20th International Conference on Computer Design (ICCD 2002), VLSI in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings, pp. 200-205, 2002, IEEE Computer Society, 0-7695-1700-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Mats Blomquist, Per Burman |
The WinBraille Approach to Producing Braille Quickly and Effectively. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCHP ![In: Computers Helping People with Special Needs, 8th International Conference, ICCHP 2002, Linz, Austria, July 15-20, Proceedings, pp. 618-619, 2002, Springer, 3-540-43904-8. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Fulvio Corno, Gianluca Cumani, Matteo Sonza Reorda, Giovanni Squillero |
Automatic Test Program Generation from RT-Level Microprocessor Descriptions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 3rd International Symposium on Quality of Electronic Design, ISQED 2002, San Jose, CA, USA, March 18-21, 2002, pp. 120-125, 2002, IEEE Computer Society, 0-7695-1561-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
22 | Kuniyuki Tani, Norihiro Nikai, Atsushi Wada, Tetsuro Sawai |
A pipelined ADC macro design for multiple applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of ASP-DAC 2001, Asia and South Pacific Design Automation Conference 2001, January 30-February 2, 2001, Yokohama, Japan, pp. 269-274, 2001, ACM, 0-7803-6634-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
22 | Samuel N. Kamin, Miranda Callahan, Lars Clausen |
Lightweight and Generative Components 2: Binary-Level Components. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAIG ![In: Semantics, Applications, and Implementation of Program Generation, International Workshop SAIG 2000, Montreal, Canada, September 20, 2000, Proceedings, pp. 28-50, 2000, Springer, 3-540-41054-6. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
22 | Wen-Jong Fang, Allen C.-H. Wu, Duan-Ping Chen |
EmGen-a module generator for logic emulation applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 7(4), pp. 488-492, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Shinji Miyano, Katsuhiko Sato, Kenji Numata |
Universal Test Interface for Embedded-DRAM Testing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test Comput. ![In: IEEE Des. Test Comput. 16(1), pp. 53-58, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
22 | Nathan Kalyanasundharam, Nital Patwa |
Simultaneous Switching Noise Considerations in the Design of a High Speed, Multiported TLB of a Server-Class Microprocessor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 118-123, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
multiported, supply inductance, TLB, simultaneous switching noise, decoupling capacitance |
22 | Michael A. Riepe, Karem A. Sakallah |
The edge-based design rule model revisited. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Design Autom. Electr. Syst. ![In: ACM Trans. Design Autom. Electr. Syst. 3(3), pp. 463-486, 1998. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
design rule checking, layout verification, design rules |
22 | Muhammad M. Khellah, Mohamed I. Elmasry |
Effective Capacitance Macro-Modelling for Architectural-Level Power Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Great Lakes Symposium on VLSI ![In: 8th Great Lakes Symposium on VLSI (GLS-VLSI '98), 19-21 February 1998, Lafayette, LA, USA, pp. 414-419, 1998, IEEE Computer Society, 0-8186-8409-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
low-power design, power estimation, high-level design |
22 | Yufeng Luo, Tjahjadi Wongsonegoro, Adnan Aziz |
Hybrid Techniques for Fast Functional Simulation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998., pp. 664-667, 1998, ACM Press, 0-89791-964-5. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
ASIC verification, simulation, emulation |
22 | Alessandro Bogliolo, Luca Benini, Giovanni De Micheli |
Adaptive least mean square behavioral power modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ED&TC ![In: European Design and Test Conference, ED&TC '97, Paris, France, 17-20 March 1997, pp. 404-410, 1997, IEEE Computer Society, 0-8186-7786-4. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
22 | Kjell O. Jeppson, Sven Christensson, Nils Hedenstierna |
Formal definitions of edge-based geometric design rules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(1), pp. 59-69, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
|
22 | Alexander Sakharov |
Macro processing in high-level languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM SIGPLAN Notices ![In: ACM SIGPLAN Notices 27(11), pp. 59-66, 1992. The full citation details ...](Pics/full.jpeg) |
1992 |
DBLP DOI BibTeX RDF |
|
22 | Herbert E. Longenecker Jr., Syed Tariq Ali, Michael V. Doran |
Increased Productivity Using a Preprocessor for Dataflex Fourth Generation Database Language (Abstract). ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the ACM 18th Annual Computer Science Conference on Cooperation, CSC '90, Sheraton Washington Hotel, Washington, DC, USA, February 20-22, 1990, pp. 410, 1990, ACM, 0-89791-348-5. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
22 | B. Lokanathan, Edwin Kinnen |
Performance optimized floor planning by graph planarization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989., pp. 116-121, 1989, ACM Press. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
22 | Wing K. Luk, Alvar A. Dean |
Multi-Stack Optimization for Data-Path Chip (Microprocessor) Layout. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 26th ACM/IEEE Design Automation Conference, Las Vegas, Nevada, USA, June 25-29, 1989., pp. 110-115, 1989, ACM Press. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
22 | Clinton R. Foulk |
Macintosh assembly language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Conference on Computer Science ![In: Proceedings of the Sixteenth ACM Annual Conference on Computer Science, Atlanta, Georgia, USA, February 23-25, 1988, pp. 681, 1988, ACM, 0-89791-260-8. The full citation details ...](Pics/full.jpeg) |
1988 |
DBLP DOI BibTeX RDF |
Macintosh |
22 | Rathin Putatunda, David Smith, Stephen McNeary, James Crabbe |
HAPPI: a chip compiler based on double-level-metal technology. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 23rd ACM/IEEE Design Automation Conference. Las Vegas, NV, USA, June, 1986., pp. 736-743, 1986, IEEE Computer Society Press. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
|
21 | Roman Knöll, Mira Mezini |
pi: a pattern language. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA ![In: Proceedings of the 24th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2009, October 25-29, 2009, Orlando, Florida, USA, pp. 503-522, 2009, ACM, 978-1-60558-766-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
patterns, domain specific languages, extensibility, language design, semiotics, pattern language, macros, language extension |
21 | Christopher Scaffidi, Brad A. Myers, Mary Shaw |
Fast, Accurate Creation of Data Validation Formats by End-User Developers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IS-EUD ![In: End-User Development, 2nd International Symposium, IS-EUD 2009, Siegen, Germany, March 2-4, 2009. Proceedings, pp. 242-261, 2009, Springer, 978-3-642-00425-4. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
web macros, web applications, Data validation |
21 | Matthew Flatt, Eli Barzilay, Robert Bruce Findler |
Scribble: closing the book on ad hoc documentation tools. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceeding of the 14th ACM SIGPLAN international conference on Functional programming, ICFP 2009, Edinburgh, Scotland, UK, August 31 - September 2, 2009, pp. 109-120, 2009, ACM, 978-1-60558-332-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
domain-specific languages, macros, metaprogramming |
21 | Joerg Beringer, Gerhard Fischer, Piero Mussio, Brad A. Myers, Fabio Paternò, Boris E. R. de Ruyter |
The next challenge: from easy-to-use to easy-to-develop. are you ready? ![Search on Bibsonomy](Pics/bibsonomy.png) |
CHI Extended Abstracts ![In: Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, CHI 2008, Florence, Italy, April 5-10, 2008, pp. 2257-2260, 2008, ACM, 978-1-60558-012-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
customization, end user development, end-user software engineering, programming by example, tailoring, macros, psychology of programming |
21 | Abdulaziz Ghuloum, R. Kent Dybvig |
Implicit phasing for R6RS libraries. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 12th ACM SIGPLAN International Conference on Functional Programming, ICFP 2007, Freiburg, Germany, October 1-3, 2007, pp. 303-314, 2007, ACM, 978-1-59593-815-2. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
binding phases, hygienic macros, macro expansion, scheme, libraries |
21 | Jonathan Riehl |
Assimilating MetaBorg: : embedding language tools in languages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
GPCE ![In: Generative Programming and Component Engineering, 5th International Conference, GPCE 2006, Portland, Oregon, USA, October 22-26, 2006, Proceedings, pp. 21-28, 2006, ACM, 1-59593-237-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
MetaBorg, concrete syntax macros, extensible syntax, staged multi-language programming, self application, SDF, stratego |
21 | Guillaume Germain |
Concurrency oriented programming in termite scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Erlang Workshop ![In: Proceedings of the 2006 ACM SIGPLAN Workshop on Erlang, Portland, Oregon, USA, September 16, 2006, pp. 20, 2006, ACM, 1-59593-490-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
distributed computing, scheme, continuations, erlang, macros |
21 | Olin Shivers |
The anatomy of a loop: a story of scope and control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICFP ![In: Proceedings of the 10th ACM SIGPLAN International Conference on Functional Programming, ICFP 2005, Tallinn, Estonia, September 26-28, 2005, pp. 2-14, 2005, ACM, 1-59593-064-7. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
compilers, programming languages, functional programming, scheme, continuations, lambda-calculus, iteration, macros, control structures |
21 | Jason Baker, Wilson C. Hsieh |
Maya: Multiple-Dispatch Syntax Extension in Java. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the 2002 ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI), Berlin, Germany, June 17-19, 2002, pp. 270-281, 2002, ACM, 1-58113-463-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
Java, generative programming, macros, metaprogramming |
Displaying result #1 - #100 of 479 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ >>] |
|