The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "DSD"( http://dblp.L3S.de/Venues/DSD )

URL (DBLP): http://dblp.uni-trier.de/db/conf/dsd

Publication years (Num. hits)
2001 (68) 2002 (54) 2003 (67) 2004 (85) 2005 (80) 2006 (95) 2007 (104) 2008 (124) 2009 (116) 2010 (112) 2011 (113) 2012 (137) 2013 (137) 2014 (102) 2015 (109) 2016 (103) 2017 (82) 2018 (108) 2019 (100) 2020 (103) 2021 (82) 2022 (124) 2023 (105)
Publication types (Num. hits)
inproceedings(2287) proceedings(23)
Venues (Conferences, Journals, ...)
DSD(2310)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 91 occurrences of 73 keywords

Results
Found 2310 publication records. Showing 2310 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ioannis Sourdis, Christos Strydis, Christos-Savvas Bouganis, Babak Falsafi, Georgi Nedeltchev Gaydadjiev, Alirad Malek, R. Mariani, Dionisios N. Pnevmatikatos, Dhiraj K. Pradhan, Gerard K. Rauwerda, Kim Sunesen, Stavros Tzilis The DeSyRe Project: On-Demand System Reliability. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Iakovos Mavroidis, Ioannis Mavroidis, Ioannis Papaefstathiou, Luciano Lavagno, Mihai T. Lazarescu, Eduardo de la Torre, Florian Schäfer FASTCUDA: Open Source FPGA Accelerator & Hardware-Software Codesign Toolset for CUDA Kernels. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Manel Ammar, Mouna Baklouti, Mohamed Abid Extending MARTE to Support the Specification and the Generation of Data Intensive Applications for Massively Parallel SoC. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Da He, Wolfgang Mueller A Heuristic Energy-Aware Approach for Hard Real-Time Systems on Multi-core Platforms. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Syed M. A. H. Jafri, Liang Guang, Ahmed Hemani, Kolin Paul, Juha Plosila, Hannu Tenhunen Energy-Aware Fault-Tolerant Network-on-Chips for Addressing Multiple Traffic Classes. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Satish Kumar Sadasivam, Sangram Alapati, Varun Mallikarjunan Test Generation Approach for Post-Silicon Validation of High End Microprocessor. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dimitris Bekiaris, George Economakos Power Optimization Opportunities for a Reconfigurable Arithmetic Component in the Deep Submicron Domain. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Norbert Druml, Manuel Menghin, Christian Steger, Reinhold Weiss, Andreas Genser, Holger Bock, Josef Haid Adaptive Field Strength ScalingL: A Power Optimization Technique for Contactless Reader / Smart Card Systems. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Selma Saidi, Pranav Tendulkar, Thierry Lepley, Oded Maler Optimal 2D Data Partitioning for DMA Transfers on MPSoCs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Cor Meenderinck, Anca Mariana Molnos, Kees Goossens Composable Virtual Memory for an Embedded SoC. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eesa Nikahd, Mahboobeh Houshmand, Morteza Saheb Zamani, Mehdi Sedighi OWQS: One-Way Quantum Computation Simulator. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Raimund Ubar, Sergei Kostin, Jaan Raik How to Prove that a Circuit is Fault-Free? Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pedro Miguens Matutino, Héctor Pettenghi, Ricardo Chaves, Leonel Sousa RNS Arithmetic Units for Modulo {2^n+-k}. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Halil Kukner, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken Impact of Duty Factor, Stress Stimuli, and Gate Drive Strength on Gate Delay Degradation with an Atomistic Trap-Based BTI Model. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Radhika Sanjeev Jagtap, Sumeet S. Kumar, Rene van Leuken 0001 A Methodology for Early Exploration of TSV Placement Topologies in 3D Stacked ICs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Omid Assare, Mahmoud Momtazpour, Maziar Goudarzi Accurate Estimation of Leakage Power Variability in Sub-micrometer CMOS Circuits. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Davide Bresolin, Luigi Di Guglielmo, Luca Geretti, Riccardo Muradore, Paolo Fiorini, Tiziano Villa Open Problems in Verification and Refinement of Autonomous Robotic Systems. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Kolin Paul, Chinmaya Dash, Mansureh Shahraki Moghaddam reMORPH: A Runtime Reconfigurable Architecture. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Tiago Dias 0001, Luis Rosario, Nuno Roma, Leonel Sousa High Performance Unified Architecture for Forward and Inverse Quantization in H.264/AVC. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Markus Ulbricht 0002, Heinrich Theodor Vierhaus, Tobias Koal Activity Migration in M-of-N-Systems by Means of Load-Balancing. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Oussama Lazrak, Pierre Leray, Christophe Moy HDCRAM Proof-of-Concept for Opportunistic Spectrum Access. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jaroslav Sykora, Roman Bartosinski, Lukas Kohout, Martin Danek, Petr Honzík Reducing Instruction Issue Overheads in Application-Specific Vector Processors. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Baris Ege, Amitabh Das, Santosh Ghosh, Ingrid Verbauwhede Differential Scan Attack on AES with X-tolerant and X-masked Test Response Compactor. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Anna Bernasconi 0001, Valentina Ciriani, Gabriella Trucco, Tiziano Villa Projected Don't Cares. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Armin Krieg, Johannes Grinschgl, Norbert Druml, Christian Steger, Reinhold Weiss, Holger Bock, Josef Haid PROCOMON: An Automatically Generated Predictive Control Signal Monitor. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Pablo González de Aledo Marugán, Javier Gonzalez Bayon, Pablo Sánchez Espeso A Virtual Platform for Performance Estimation of Many-core Implementations. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Benaoumeur Senouci, Anne-Johan Annema, Mark J. Bentum, Hans G. Kerkhoff Investigating Dependability of Short-Range Wireless Embedded Systems through Hardware Platform Based Design. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Rosilde Corvino, Erkan Diken, Abdoulaye Gamatié, Lech Józwiak Transformation-Based Exploration of Data Parallel Architecture for Customizable Hardware: A JPEG Encoder Case Study. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Paolo Roberto Grassi, Donatella Sciuto Energy-Aware FPGA-based Architecture for Wireless Sensor Networks. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gorker Alp Malazgirt, Ender Culha, Alper Sen 0001, I. Faik Baskaya, Arda Yurdakul A Verifiable High Level Data Path Synthesis Framework. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1David Kramer, Wolfgang Karl A Scalable Monitoring Infrastructure for Self-Organizing Many-Core Architectures. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alexander Bochem, Kenneth B. Kent, Rainer Herpers FPGA Based Real-Time Tracking Approach with Validation of Precision and Performance. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alexandre Chagoya-Garzon, Frédéric Rousseau 0001, Frédéric Pétrot Multi-device Driver Synthesis Flow for Heterogeneous Hierarchical Systems. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Gian Mario Bertolotti, Andrea Cristiani, Remo Lombardi, Nikola B. Serbedzija The Seat Adaptation System of REFLECT Project: Implementation of a Biocybernetic Loop in an Automotive Environment. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Marcel Dombrowski, Kenneth B. Kent, Yves G. Losier, Adam W. Wilson, Rainer Herpers Analyzing Bus Load Data Using an FPGA and a Microcontroller. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eugen Leontie, Gedare Bloom, Bhagirath Narahari, Rahul Simha No Principal Too Small: Memory Access Control for Fine-Grained Protection Domains. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ruben Cabral, Helena Sarmento High Level Modeling and Simulation of a Baseband Processor for the 60 GHz Band. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Roel Jordans, Rosilde Corvino, Lech Józwiak Algorithm Parallelism Estimation for Constraining Instruction-Set Synthesis for VLIW Processors. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Carina Schmidt-Knorreck, Daniel Knorreck, Raymond Knopp IEEE 802.11p Receiver Design for Software Defined Radio Platforms. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Olivera Jovanovic, Peter Marwedel, Iuliana Bacivarov, Lothar Thiele MAMOT: Memory-Aware Mapping Optimization Tool for MPSoC. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Leonel Sousa, Samuel Antao VLSI Reverse Converter for RNS Based on the Moduli Set. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Andrea Cazzaniga, Gianluca Durelli, Christian Pilato, Donatella Sciuto, Marco D. Santambrogio On the Development of a Runtime Reconfigurable Multicore System-on-Chip. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alberto Bonanno, Alessandro Sanginario, Marco Crepaldi, Danilo Demarchi A Hardware-In-the-Design Methodology for Wireless Sensor Networks Based on Event-Driven Impulse Radio Ultra-Wide Band. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Adam Klimowicz, Valery Salauyou The Synthesis of Combined Mealy and Moore Machines Structural Model Using Values of Output Variables as Codes of States. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Ali Sentürk, Mustafa Gök Pipelined Large Multiplier Designs on FPGAs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1George Provelengios, Paris Kitsos, Nicolas Sklavos 0001, Christos Koulamas FPGA-based Design Approaches of Keccak Hash Function. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Apostolos P. Fournaris, Odysseas G. Koufopavlou CRT RSA Hardware Architecture with Fault and Simple Power Attack Countermeasures. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Abdulkadir Akin, Elif Erdede, Hossein Afshari, Alexandre Schmid, Yusuf Leblebici Enhanced Omnidirectional Image Reconstruction Algorithm and Its Real-Time Hardware. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Felix Miller, Thomas Wild, Andreas Herkersdorf TSV-virtualization for Multi-protocol-Interconnect in 3D-ICs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Timo Schönwald, Alexander Viehl, Oliver Bringmann 0001, Wolfgang Rosenstiel Distance-Constrained Force-Directed Process Mapping for MPSoC Architectures. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bahman Arasteh, Amir Masoud Rahmani, Ali Mansoor, Seyed Ghassem Miremadi Using Genetic Algorithm to Identify Soft-Error Derating Blocks of an Application Program. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Emad Samuel Malki Ebeid, Davide Quaglia, Franco Fummi Generation of VHDL Code from UML/MARTE Sequence Diagrams for Verification and Synthesis. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Yousra Alkabani Trojan Immune Circuits Using Duality. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheetal Bhandari, Shaila Subbaraman, Shashank Pujari, Fabio Cancare, Francesco Bruschi, Marco D. Santambrogio, Paolo Roberto Grassi High Speed Dynamic Partial Reconfiguration for Real Time Multimedia Signal Processing. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mihai T. Lazarescu, Parinaz Sayyah, Davide Quaglia, Francesco Stefanni SystemC Model Generation for Realistic Simulation of Networked Embedded Systems. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sheng Hao Wang, Anup Das 0001, Akash Kumar 0001, Henk Corporaal Minimizing Power Consumption of Spatial Division Based Networks-on-Chip Using Multi-path and Frequency Reduction. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Samaneh Talebi, Niloofar Abolghasemi, Ali Jahanian 0001 EJOP: An Extensible Java Processor with Reasonable Performance/Flexibility Trade-off. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sujoy Sinha Roy, Chester Rebeiro, Debdeep Mukhopadhyay A Parallel Architecture for Koblitz Curve Scalar Multiplications on FPGA Platforms. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1 15th Euromicro Conference on Digital System Design, DSD 2012, Cesme, Izmir, Turkey, September 5-8, 2012 Search on Bibsonomy DSD The full citation details ... 2012 DBLP  BibTeX  RDF
1Zouha Cherif, Jean-Luc Danger, Sylvain Guilley, Lilian Bossuet An Easy-to-Design PUF Based on a Single Oscillator: The Loop PUF. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jian Wang 0035, Andreas Karlsson, Joar Sohl, Dake Liu Convolutional Decoding on Deep-pipelined SIMD Processor with Flexible Parallel Memory. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehdi Dehbashi, Görschwin Fey, Kaushik Roy 0001, Anand Raghunathan On Modeling and Evaluation of Logic Circuits under Timing Variations. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jo Vliegen, Karel Wouters, Christian Grahn, Tobias Pulls Hardware Strengthening a Distributed Logging Scheme. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Fernando Herrera, Hector Posadas, Eugenio Villar, Daniel Calvo Enhanced IP-XACT Platform Descriptions for Automatic Generation from UML/MARTE of Fast Performance Models for DSE. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jan Pospisil 0002, Martin Novotný Evaluating Cryptanalytical Strength of Lightweight Cipher PRESENT on Reconfigurable Hardware. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Roland Dobai, Marcel Baláz, Mária Fischerová Automated Generation of Built-In Self-Repair Architectures for Random Logic SoC Cores. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Vincent Berg, Dominique Noguet, Xavier Popon A Flexible Hardware Platform for Mobile Cognitive Radio Applications. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Dionisios N. Pnevmatikatos, Tobias Becker, Andreas Brokalakis, Karel Bruneel, Georgi Gaydadjiev, Wayne Luk, Kyprianos Papadimitriou, Ioannis Papaefstathiou, Oliver Pell, Christian Pilato, M. Robart, Marco D. Santambrogio, Donatella Sciuto, Dirk Stroobandt, Tim Todman FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Jan Schmidt, Petr Fiser, Jiri Balcarek The Influence of Implementation Technology on Dependability Parameters. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Hiroki Ito, Mitsuru Shiozaki, Anh-Tuan Hoang, Takeshi Fujino Efficient DPA-Resistance Verification Method with Smaller Number of Power Traces on AES Cryptographic Circuit. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bahareh Pourshirazi, Ali Jahanian 0001 RF-Interconnect Resource Assignment and Placement Algorithms in Application Specific ICs to Improve Performance and Reduce Routing Congestion. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Christian Gleichner, Heinrich Theodor Vierhaus, Piet Engelke Scan Based Tests via Standard Interfaces. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Bogdan Spinean, Georgi Gaydadjiev Implementation Study of FFT on Multi-lane Vector Processors. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Alberto Casagrande, Carla Piazza Model Checking on Hybrid Automata. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Sandro Bartolini, Paolo Grani A Simple On-Chip Optical Interconnection for Improving Performance of Coherency Traffic in CMPs. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Farhad Mehdipour, Krishna Chaitanya Nunna, Koji Inoue, Kazuaki J. Murakami A Three-Dimensional Integrated Accelerator. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Catalin Bogdan Ciobanu, Georgi Kuzmanov, Georgi Gaydadjiev Scalability Study of Polymorphic Register Files. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Álvaro Díaz Suárez, Raul Diego, Pablo Sánchez Espeso Virtual Platform for Wireless Sensor Networks. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Elias Baaklini, Hassan Sbeity, Smaïl Niar H.264 Macroblock Line Level Parallel Video Decoding on Embedded Multicore Processors. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Silvia Franchini, Antonio Gentile, Giorgio Vassallo, Filippo Sorbello, Salvatore Vitabile A Dual-Core Coprocessor with Native 4D Clifford Algebra Support. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehmet Kayaalp 0001, Fahrettin Koc, Oguz Ergin Exploiting Bus Level and Bit Level Inactivity for Preventing Wire Degradation due to Electromigration. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Taoufik Chouta, Jean-Luc Danger, Laurent Sauvage, Tarik Graba A Small and High-Performance Coprocessor for Fingerprint Match-on-Card. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Eric Senn, Daniel Chillet, Olivier Zendra, Cécile Belleudy, Sébastien Bilavarn, Rabie Ben Atitallah, Christian Samoyeau, A. Fritsch Open-People: Open Power and Energy Optimization PLatform and Estimator. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mehmet Kayaalp 0001, Fahrettin Koc, Oguz Ergin Improving the Soft Error Resilience of the Register Files Using SRAM Bitcells with Built-In Comparators. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1M. Yang, Jinmei Lai, Hongying Xu Finite State Machine Synthesis Based on Relay-Based Algorithm. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Constantinos Efstathiou, Nikolaos Moschopoulos, Kostas Tsoumanis, Kiamal Z. Pekmestzi On the Design of Configurable Modulo 2n±1 Residue Generators. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Masahiro Fujita Simulation-Based Analysis of Cyberphysical Systems. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Evangelos Vassalos, Dimitris Bakalis, Haridimos T. Vergos SUT-RNS Residue-to-Binary Converters Design. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Syed Rameez Naqvi, Varadan Savulimedu Veeravalli, Andreas Steininger Protecting an Asynchronous NoC against Transient Channel Faults. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Amir-Mohammad Rahmani, Kameswar Rao Vaddina, Pasi Liljeberg, Juha Plosila, Hannu Tenhunen Power and Thermal Analysis of Stacked Mesh 3D NoC Using AdaptiveXYZ Routing Algorithm. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mário P. Véstias, Horácio C. Neto Parallel Decimal Multipliers and Squarers Using Karatsuba-Ofman's Algorithm. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Mohammadreza Najafi, Saeed Safari, Zainalabedin Navabi Soft Error Analysis on Communication Channels in On-Chip Communication Networks. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
1Michael D. Wilder, Robert Rinker Synthesizing Concurrent Synchronous Computing Machines from Interrupt-Driven Binaries. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Martin Straka, Jan Kastil, Zdenek Kotásek SEU Simulation Framework for Xilinx FPGA: First Step towards Testing Fault Tolerant Systems. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1George Provelengios, Nikolaos S. Voros, Paris Kitsos Low Power FPGA Implementations of JH and Fugue Hash Functions. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Jean-Michel Chabloz, Ahmed Hemani Low-Latency and Low-Overhead Mesochronous and Plesiochronous Synchronizers. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Weiyun Lu, Martin Radetzki Efficient Fault Simulation of SystemC Designs. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ali Abbasinasab, Mehdi Mohammadi, Siamak Mohammadi, Svetlana N. Yanushkevich, Michael Smith 0002 Mutant Fault Injection in Functional Properties of a Model to Improve Coverage Metrics. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Ittetsu Taniguchi, Mitsuya Uchida, Hiroyuki Tomiyama, Masahiro Fukui, Praveen Raghavan, Francky Catthoor An Energy Aware Design Space Exploration for VLIW AGU Model with Fine Grained Power Gating. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
1Alice M. Tokarnia, Pedro C. F. Pepe, Leandro D. Pagotto Path-Based Dynamic Voltage and Frequency Scaling Algorithms for Multiprocessor Embedded Applications with Soft Delay Deadlines. Search on Bibsonomy DSD The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
Displaying result #1201 - #1300 of 2310 (100 per page; Change: )
Pages: [<<][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19]
[20][21][22][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license