The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CPU2000 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000-2002 (18) 2003-2004 (21) 2005 (27) 2006 (28) 2007 (26) 2008 (22) 2009-2010 (13)
Publication types (Num. hits)
article(27) inproceedings(128)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 129 keywords

Results
Found 155 publication records. Showing 155 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97JunSeong Kim, JongSu Yi Performance sensitivity of SPEC CPU2000 over operating frequency. Search on Bibsonomy ISICT The full citation details ... 2004 DBLP  BibTeX  RDF
72Jason F. Cantin, Mark D. Hill Cache performance for selected SPEC CPU2000 benchmarks. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
62Swathi Tanjore Gurumani, Aleksandar Milenkovic Execution characteristics of SPEC CPU2000 benchmarks: Intel C++ vs. Microsoft VC++. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SPEC CPU2000 benchmarks, event-based sampling, performance evaluation, compiler optimizations
47Arun Kejariwal, Gerolf Hoflehner, Darshan Desai, Daniel M. Lavery, Alexandru Nicolau, Alexander V. Veidenbaum Comparative characterization of SPEC CPU2000 and CPU2006 on Itanium architecture. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF SPEC CPU benchmarks, performance evaluation, caches, branch prediction
47Hussein Al-Zoubi, Aleksandar Milenkovic, Milena Milenkovic Performance evaluation of cache replacement policies for the SPEC CPU2000 benchmark suite. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance evaluation, cache memory, replacement policy
47Daniel Citron MisSPECulation: Partial and Misleading Use of SPEC CPU2000 in Computer Architecture Conferences. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Gokul B. Kandiraju, Anand Sivasubramaniam Characterizing the d-TLB behavior of SPEC CPU2000 benchmarks. Search on Bibsonomy SIGMETRICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47John L. Henning SPEC CPU2000: Measuring CPU Performance in the New Millennium. Search on Bibsonomy Computer The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Lodewijk Bonebakker Comparison of the SPEC CPU Benchmarks with 499 Other Workloads Using Hardware Counters. Search on Bibsonomy SIPEW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SPEC CPU2000, SPEC CPU2006, benchmark, workloads, workload characterization, comparison
41Roland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe Statistical sampling of microarchitecture simulation. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Microarchitecture simulation, SPEC CPU2000 simulation, cold-start bias, simulation sampling, statistical sampling
41Manohar K. Prabhu, Kunle Olukotun Exposing speculative thread parallelism in SPEC2000. Search on Bibsonomy PPoPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SPEC CPU2000, feedback-driven optimization, manual parallel programming, chip multiprocessors, multithreading, thread-level speculation
34Arun Kejariwal, Alexander V. Veidenbaum, Alexandru Nicolau, Xinmin Tian, Milind Girkar, Hideki Saito 0001, Utpal Banerjee Comparative architectural characterization of SPEC CPU2000 and CPU2006 benchmarks on the intel® CoreTM 2 Duo processor. Search on Bibsonomy ICSAMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Mohsen Sharifi, Mohsen Soryani, Mohammad Hossein Rezvani A Simulation-Based Study of 2-level TLB and Cache Performance of the SPEC CPU2000 Benchmarks. Search on Bibsonomy IMECS The full citation details ... 2007 DBLP  BibTeX  RDF
28Kartik K. Agaram, Stephen W. Keckler, Calvin Lin, Kathryn S. McKinley Decomposing memory performance: data structures and phases. Search on Bibsonomy ISMM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CPU2000, DTrack, simulation, data structure, phase, SPEC
25Weiming Zhao, Zhenlin Wang, Yingwei Luo Dynamic memory balancing for virtual machines. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Kenneth Hoste, Lieven Eeckhout, Hendrik Blockeel Analyzing commercial processor performance numbers for predicting performance of applications of interest. Search on Bibsonomy SIGMETRICS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF benchmark similarity, performance analysis, performance prediction
25Arun Kejariwal, Xinmin Tian, Wei Li 0015, Milind Girkar, Sergey Kozhukhov, Hideki Saito 0001, Utpal Banerjee, Alexandru Nicolau, Alexander V. Veidenbaum, Constantine D. Polychronopoulos On the performance potential of different types of speculative thread-level parallelism: The DL version of this paper includes corrections that were not made available in the printed proceedings. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DOALL loops, value dependence, performance evaluation, data dependence, speculative execution, control dependence
25Sorin Iacobovici, Lawrence Spracklen, Sudarshan Kadambi, Yuan Chou, Santosh G. Abraham Effective stream-based and execution-based data prefetching. Search on Bibsonomy ICS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware prefetcher, multiple strides, stream prefetching
25Viji Srinivasan, Edward S. Davidson, Gary S. Tyson, Mark J. Charney, Thomas R. Puzak Branch History Guided Instruction Prefetching. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Naveen Neelakantam, David R. Ditzel, Craig B. Zilles A real system evaluation of hardware atomicity for software speculation. Search on Bibsonomy ASPLOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, checkpoint, atomicity, speculation, dynamic translation
13Xi Chen 0068, Chi Xu, Robert P. Dick, Zhuoqing Morley Mao Performance and power modeling in a multi-programmed multi-core environment. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF performance modeling, assignment, power modeling
13Mohammad Shihabul Haque, Jorgen Peddersen, Andhi Janapsatya, Sri Parameswaran SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF miss rate, simulation, round robin, cache simulation, L1 cache
13Simon Kluyskens, Lieven Eeckhout Branch Predictor Warmup for Sampled Simulation through Branch History Matching. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Dmitry G. Korzun, Andrei V. Gurtov A local equilibrium model for P2P resource ranking. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Bill Lin 0001, Jun (Jim) Xu, Nan Hua, Hao Wang 0006, Haiquan (Chuck) Zhao A randomized interleaved DRAM architecture for the maintenance of exact statistics counters. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Sipat Triukose, Zhihua Wen, Michael Rabinovich Content delivery networks: how big is big enough? Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Alma Riska, Erik Riedel Evaluation of disk-level workloads at different time scales. Search on Bibsonomy SIGMETRICS Perform. Evaluation Rev. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Arun Kejariwal, Alexandru Nicolau, Utpal Banerjee, Alexander V. Veidenbaum, Constantine D. Polychronopoulos Cache-aware partitioning of multi-dimensional iteration spaces. Search on Bibsonomy SYSTOR The full citation details ... 2009 DBLP  DOI  BibTeX  RDF partitioning, parallel loops, iteration space
13Jianwei Dai, Lei Wang 0003 Way-tagged cache: an energy-efficient L2 cache architecture under write-through policy. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power technique, way-tag array, cache
13Matthew A. Watkins, Sally A. McKee, Lambert Schaelicke Revisiting Cache Block Superloading. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Mark Gebhart, Bertrand A. Maher, Katherine E. Coons, Jeffrey R. Diamond, Paul Gratz, Mario Marino, Nitya Ranganathan, Behnam Robatmili, Aaron Smith, James H. Burrill, Stephen W. Keckler, Doug Burger, Kathryn S. McKinley An evaluation of the TRIPS computer system. Search on Bibsonomy ASPLOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF trips
13Weiwu Hu, Jian Wang Making Effective Decisions in Computer Architects' Real-World: Lessons and Experiences with Godson-2 Processor Designs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF correlation design, balanced design, Pico-architecture design, work-on-silicon, optimized design, superscalar architecture
13Lei Wang 0003, Niral Patel Improving Error Tolerance for Multithreaded Register Files. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Eriko Nurvitadhi, Jumnit Hong, Shih-Lien Lu Active Cache Emulator. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Mohsen Sharifi, Behrouz Zolfaghari YAARC: yet another approach to further reducing the rate of conflict misses. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skewed associative cache, YAARC cache, Hit rate, Cache, Conflict misses
13Davy Genbrugge, Lieven Eeckhout Memory Data Flow Modeling in Statistical Simulation for the Efficient Exploration of Microprocessor Design Spaces. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Simulation, Modeling techniques, Performance Analysis and Design Aids
13Shuai Wang 0006, Jie S. Hu, Sotirios G. Ziavras Self-Adaptive Data Caches for Soft-Error Reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Zhelong Pan, Rudolf Eigenmann PEAK - a fast and effective performance tuning system via compiler optimization orchestration. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization orchestration, Performance tuning, dynamic compilation
13Manuel Arenaz, Juan Touriño, Ramon Doallo XARK: An extensible framework for automatic recognition of computational kernels. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Automatic kernel recognition, demand-driven algorithms, gated single assignment, use-def chains, symbolic analysis, strongly connected component
13Jeffrey J. Cook, Craig B. Zilles A characterization of instruction-level error derating and its implications for error detection. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Isidro Gonzalez, Marco Galluzzi, Alexander V. Veidenbaum, Marco Antonio Ramírez, Adrián Cristal, Mateo Valero A distributed processor state management architecture for large-window processors. Search on Bibsonomy MICRO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Sebastian Winkel, Rakesh Krishnaiyer, Robyn Sampson Latency-tolerant software pipelining in a production compiler. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF latency-tolerant scheduling, load clustering, compiler, code generation, prefetching, software pipelining, modulo scheduling, memory latency, memory-level parallelism, itanium, epic
13Kenneth Hoste, Lieven Eeckhout Characterizing the Unique and Diverse Behaviors in Existing and Emerging General-Purpose and Domain-Specific Benchmark Suites. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Manuel Arenaz, Pedro Amoedo, Juan Touriño Efficiently Building the Gated Single Assignment Form in Codes with Pointers in Modern Optimizing Compilers. Search on Bibsonomy Euro-Par The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Qiong Cai, Josep M. Codina, José González 0002, Antonio González 0001 A software-hardware hybrid steering mechanism for clustered microarchitectures. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Fernando Magno Quintão Pereira, Jens Palsberg Register allocation by puzzle solving. Search on Bibsonomy PLDI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF puzzle solving, register aliasing, register allocation
13Shuo Wang, Lei Wang 0003 Design of error-tolerant cache memory for multithreaded computing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Haibing Guan, Bo Liu 0001, Tingtao Li, Alei Liang Multithreaded Optimizing Technique for Dynamic Binary Translator CrossBit. Search on Bibsonomy CSSE (5) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Rupak Samanta, Jason Surprise, Rabi N. Mahapatra Dynamic Aggregation of Virtual Addresses in TLB Using TCAM Cells. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Vincent M. Weaver, Sally A. McKee Using Dynamic Binary Instrumentation to Generate Multi-platform SimPoints: Methodology and Accuracy. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Luk Van Ertvelde, Lieven Eeckhout Dispersing proprietary applications as benchmarks through code mutation. Search on Bibsonomy ASPLOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF benchmark generation, code mutation
13Sreekumar V. Kodakara, Jinpyo Kim, David J. Lilja, Douglas M. Hawkins, Wei-Chung Hsu, Pen-Chung Yew CIM: A Reliable Metric for Evaluating Program Phase Classifications. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Shlomit S. Pinter, Israel Waldman Selective Code Compression Scheme for Embedded Systems. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF run-time decompression, Code compression, code size reduction
13Xian-He Sun, Surendra Byna, Yong Chen 0001 Server-Based Data Push Architecture for Multi-Processor Environments. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF modeling, evaluation, performance measurement, cache memory, simulation of multiple-processor system
13Weiwu Hu, Ji-Ye Zhao, Shi-Qiang Zhong, Xu Yang, Elio Guidetti, Chris Wu Implementing a 1GHz Four-Issue Out-of-Order Execution Microprocessor in a Standard Cell ASIC Methodology. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF superscalar pipeline, non-blocking cache, synthesis flow, bit-sliced placement, crafted cell, performance evaluation, physical design, out-of-order execution, general-purpose processor
13Aleksandar Milenkovic, Milena Milenkovic An efficient single-pass trace compression technique utilizing instruction streams. Search on Bibsonomy ACM Trans. Model. Comput. Simul. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Instruction and data traces, instruction streams, trace compression
13Matthew A. Watkins, Sally A. McKee, Lambert Schaelicke A Phase-Adaptive Approach to Increasing Cache Performance. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Cheng Wang 0013, Ho-Seop Kim, Youfeng Wu, Victor Ying Compiler-Managed Software-based Redundant Multi-Threading for Transient Fault Detection. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Qin Zhao, Rodric M. Rabbah, Saman P. Amarasinghe, Larry Rudolph, Weng-Fai Wong Ubiquitous Memory Introspection. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kapil Vaswani, Matthew J. Thazhuthaveetil, Y. N. Srikant, P. J. Joseph Microarchitecture Sensitive Empirical Models for Compiler Optimizations. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jason Hiser, Daniel W. Williams, Wei Hu, Jack W. Davidson, Jason Mars, Bruce R. Childers Evaluating Indirect Branch Handling Mechanisms in Software Dynamic Translation Systems. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jun Shao, Brian T. Davis A Burst Scheduling Access Reordering Mechanism. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Santhosh Srinath, Onur Mutlu, Hyesoon Kim, Yale N. Patt Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Xuanhua Li, Donald Yeung Application-Level Correctness and its Impact on Fault Tolerance. Search on Bibsonomy HPCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Kapil Vaswani, Aditya V. Nori, Trishul M. Chilimbi Preferential path profiling: compactly numbering interesting paths. Search on Bibsonomy POPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF preferential paths, profiling, dynamic analysis, arithmetic coding
13Daniel Grund, Sebastian Hack A Fast Cutting-Plane Algorithm for Optimal Coalescing. Search on Bibsonomy CC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Manuel Arenaz, Juan Touriño, Ramon Doallo Program Behavior Characterization Through Advanced Kernel Recognition. Search on Bibsonomy Euro-Par The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mohsen Soryani, Mohsen Sharifi, Mohammad Hossein Rezvani Performance Evaluation of Cache Memory Organizations in Embedded Systems. Search on Bibsonomy ITNG The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Yaobin Wang, Hong An, Bo Liang, Li Wang, Ming Cong, Yongqing Ren Balancing Thread Partition for Efficiently Exploiting Speculative Thread-Level Parallelism. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Jinpyo Kim, Wei-Chung Hsu, Pen-Chung Yew, Sreekumar R. Nair, Robert Y. Geva Entropy-Based Profile Characterization and Classification for Automatic Profile Management. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Sang Jeong Lee, Hae-Kag Lee, Pen-Chung Yew Runtime Performance Projection Model for Dynamic Power Management. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic Voltage-Frequency Scaling, Performance Monitoring, Dynamic Power Management
13Takashi Yokota, Kanemitsu Ootsu, Takanobu Baba Introducing entropies for representing program behavior and branch predictor performance. Search on Bibsonomy Experimental Computer Science The full citation details ... 2007 DBLP  DOI  BibTeX  RDF architecture, information entropy, program behavior, prediction performance, branch predictors
13Sharath Jayaprakash, Nihar R. Mahapatra Partitioned Hybrid Encoding to Minimize On-Chip Energy Dissipation ofWide Microprocessor Buses. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Simon Kluyskens, Lieven Eeckhout Branch History Matching: Branch Predictor Warmup for Sampled Simulation. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Ajay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John Measuring Benchmark Similarity Using Inherent Program Characteristics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling techniques, performance of systems, Measurement techniques, performance attributes
13Jin Lin, Wei-Chung Hsu, Pen-Chung Yew, Roy Dz-Ching Ju, Tin-Fook Ngai Recovery code generation for general speculative optimizations. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Recovery code, multi-level data speculation, speculative SSA form
13Kenneth Hoste, Aashish Phansalkar, Lieven Eeckhout, Andy Georges, Lizy Kurian John, Koen De Bosschere Performance prediction based on inherent program similarity. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF inherent program behavior, performance modeling, workload characterization
13Zhelong Pan, Rudolf Eigenmann Fast, automatic, procedure-level performance tuning. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimization orchestration, performance tuning, dynamic compilation
13Francisco J. Mesa-Martinez, Michael C. Huang 0001, Jose Renau SEED: scalable, efficient enforcement of dependences. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF scalability, energy-efficient design, issue logic
13Ilya Ganusov, Martin Burtscher Efficient emulation of hardware prefetchers via event-driven helper threading. Search on Bibsonomy PACT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF prefetching, multi-core architectures, helper threading
13Joseph D'Errico, Wei Qin Constructing portable compiled instruction-set simulators: an ADL-driven approach. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Mark Heffernan, Kent D. Wilken, Ghassan Shobaki Data-Dependency Graph Transformations for Superblock Scheduling. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13P. J. Joseph, Kapil Vaswani, Matthew J. Thazhuthaveetil A Predictive Performance Model for Superscalar Processors. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Zhelong Pan, Rudolf Eigenmann Fast and Effective Orchestration of Compiler Optimizations for Automatic Performance Tuning. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Christopher Lupo, Kent D. Wilken Post Register Allocation Spill Code Optimization. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Luk Van Ertvelde, Filip Hellebaut, Lieven Eeckhout, Koen De Bosschere NSL-BLRL: Efficient CacheWarmup for Sampled Processor Simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Thomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe Statistical sampling of microarchitecture simulation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Davy Genbrugge, Lieven Eeckhout, Koen De Bosschere Accurate memory data flow modeling in statistical simulation. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF memory data flow modeling, performance modeling, statistical simulation
13Rajesh Vivekanandham, Bharadwaj S. Amrutur, R. Govindarajan A scalable low power issue queue for large instruction window processors. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF complexity-effective architecture, wakeup logic, low-power architecture, issue logic
13Nicolas Vasilache, Cédric Bastoul, Albert Cohen 0001, Sylvain Girbal Violated dependence analysis. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Dandan Huan, Zusong Li, Weiwu Hu, Zhiyong Liu Processor Directed Dynamic Page Policy. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Godson-2, Memory Control Policy, Dynamic Page Policy, Open Page, Close Page
13Chengyi Zhang, Hongwei Zhou, Minxuan Zhang, Zuocheng Xing An Architectural Leakage Power Reduction Method for Instruction Cache in Ultra Deep Submicron Microprocessors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Periodically Drowsy Speculative Recover, Adaptive, Leakage Power, Drowsy cache
13Shuo Wang, Lei Wang 0003 Exploiting soft redundancy for error-resilient on-chip memory design. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache space utilization, memory system, error tolerance
13Israel Waldman, Shlomit S. Pinter Profile-driven compression scheme for embedded systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF run-time decompression, code compression, code size reduction
13Darshan D. Thaker, Diana Franklin, John Y. Oliver, Susmit Biswas, Derek Lockhart, Tzvetan S. Metodi, Frederic T. Chong Characterization of Error-Tolerant Applications when Protecting Control Data. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Karthick Rajamani, Heather Hanson, Juan Rubio 0001, Soraya Ghiasi, Freeman L. Rawson III Application-Aware Power Management. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Moinuddin K. Qureshi, Daniel N. Lynch, Onur Mutlu, Yale N. Patt A Case for MLP-Aware Cache Replacement. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Angshuman Parashar, Anand Sivasubramaniam, Sudhanva Gurumurthi SlicK: slice-based locality exploitation for efficient redundant multithreading. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF backward slice extraction, redundant threading, microarchitecture, transient faults
13Jason Hiser, Daniel W. Williams, Adrian Filipi, Jack W. Davidson, Bruce R. Childers Evaluating fragment construction policies for SDT systems. Search on Bibsonomy VEE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic translation performance, software dynamic translator, performance, low overhead
13Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
Displaying result #1 - #100 of 155 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license