The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for DLX with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1992-1998 (15) 1999-2003 (17) 2004-2005 (18) 2006-2009 (15) 2010-2019 (3)
Publication types (Num. hits)
article(13) book(1) incollection(1) inproceedings(52) phdthesis(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 56 occurrences of 55 keywords

Results
Found 68 publication records. Showing 68 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
111Manish Amde, Ivan Blunno, Christos P. Sotiriou Automating the design of an asynchronous DLX microprocessor. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF DLX, asynchronous, design flow
96Milos Becvár, Stanislav Kahánek VLIW-DLX simulator for educational purposes. Search on Bibsonomy WCAE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF simulation, education, computer architecture, VLIW
64Joseph A. Driscoll, Ralph M. Butler, Joelle M. Key A virtual machine environment for teaching the development of system software. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF DLX, education, GUI, virtual machine, system software
63Darryl Aldrin M. Dioquino, Katrina Joy S. Rosario, Homer F. Supe, Jestoni V. Zarsuela, Anastacia P. Ballesil, Joy Alinda Reyes DLX HOTOKADA: A design and implementation of a 32-bit dual core capable DLX microprocessor with single level cache. Search on Bibsonomy ICECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Krishnamani Kalyanasundaram, R. K. Shyamasundar Formal verification of pipelined processors with precise exceptions. Search on Bibsonomy MEMOCODE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
48Hemangee K. Kapoor Formal Modelling and Verification of an Asynchronous DLX Pipeline. Search on Bibsonomy SEFM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Roland N. Ibbett HASE DLX Simulation Model. Search on Bibsonomy IEEE Micro The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32K. Najeeb, Vishnu Vardhan Reddy Konda, Siva Kumar Sastry Hari, V. Kamakoti 0001, Vivekananda M. Vedula Power Virus Generation Using Behavioral Models of Circuits. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic power dissipation, Power virus, Integer Constraint Solvers, Hardware Description Languages (HDL), Behavioral Models
32Miroslav N. Velev Automatic Formal Verification of Liveness for Pipelined Processors with Multicycle Functional Units. Search on Bibsonomy CHARME The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Huzefa Mehta, Robert Michael Owens, Mary Jane Irwin A Simulation Methodology for Software Energy Evaluation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Nyoman Bogi Aditya Karna, Nimas Fatihah, Dong-Seong Kim 0002 Evaluation of DLX Microprocessor Instructions Efficiency for Image Compression. Search on Bibsonomy ICTC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
31Sujoy Pandit, Prateek Sikka Design and Implementation of Power Optimized Dual Core and Single Core DLX Processor on FPGA. Search on Bibsonomy ICCCNT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
31Rajesh Kannan Megalingam, Shekhil Hassan Thavalengal, Tanmay Muralidhar Rao, Ashwin Mohan, Vivek Periye Low power analysis of DLX processor datapath using a novel clocking scheme. Search on Bibsonomy ICWET The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
31Roger Luis Uy, Marizel Bernardo, Josiel Erica DARC2: 2nd generation DLX architecture simulator. Search on Bibsonomy WCAE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Elham Khorsandi Nia, Omid Fatemi Multimedia extensions for DLX processor. Search on Bibsonomy ICECS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Chung-Ho Chen, Akida Wu An enhanced DLX-based superscalar system simulator. Search on Bibsonomy WCAE@HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Yinong Zhang, George B. Adams III An interactive, visual simulator for the DLX pipeline. Search on Bibsonomy WCAE@HPCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
31Philip M. Sailor, David R. Kaeli The DLX instruction set architecture handbook. Search on Bibsonomy 1996   RDF
31Robert Knuth Quantitative Analyse von DLX-Pipeline-Architekturen. Search on Bibsonomy 1996   RDF
31Valentín Valero Ruiz, Fernando Cuartero, Antonio Jose Garrido del Solo, Francisco J. Quiles 0001 A simulation tool of parallel architectures for digital image processing applications based on DLX processors. Search on Bibsonomy ICIP (3) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
31Barry S. Fagin, Pichet Chintrakulchai Prototyping the DLX microprocessor. Search on Bibsonomy RSP The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31Herbert Grünbacher, Maziar Khosravipour WinDLX and MIPSim Pipeline Simulators for Teaching Computer Architecture. Search on Bibsonomy ECBS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF teaching computer architecture, teaching computer organisation, teaching pipelining, DLX architecture, pipeline visualisation, WinDLX, MIPSim, ECBS
16Hong-Zu Chou, I-Hui Lin, Ching-Sung Yang, Kai-Hui Chang, Sy-Yen Kuo Enhancing bug hunting using high-level symbolic simulation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bughunter, design for verification, symbolic simulation
16Siva Kumar Sastry Hari, Vishnu Vardhan Reddy Konda, V. Kamakoti 0001, Vivekananda M. Vedula, K. S. Maneperambil Automatic Constraint Based Test Generation for Behavioral HDL Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Viswanathan Subramanian, Arun K. Somani Conjoined Pipeline: Enhancing Hardware Reliability and Performance through Organized Pipeline Redundancy. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Bita Gorjiara, Daniel Gajski Automatic architecture refinement techniques for customizing processing elements. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF GNR, nanocoded architectures, no-instruction-set computer (NISC), refinement, high-level synthesis, power, ASIP, datapath, netlist
16Ernesto Sánchez 0001, Giovanni Squillero Evolutionary Techniques Applied to Hardware Optimization Problems: Test and Verification of Advanced Processors. Search on Bibsonomy Advances in Evolutionary Computing for System Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Edward K. Walters II, J. Eliot B. Moss, Trek S. Palmer, Timothy Richards, Charles C. Weems Modeling Modern Micro-architectures using CASL. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Yong Zhan, Tianpei Zhang, Sachin S. Sapatnekar Module assignment for pin-limited designs under the stacked-Vdd paradigm. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Debabrata Mohapatra, Georgios Karakonstantis, Kaushik Roy 0001 Low-power process-variation tolerant arithmetic units using input-based elastic clocking. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF elastic clocking, process tolerant, low power
16Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-Based Self-Testing of Delay Faults in Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Christos P. Sotiriou Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Sven Beyer, Christian Jacobi 0002, Daniel Kröning, Dirk Leinenbach, Wolfgang J. Paul Putting it all together - Formal verification of the VAMP. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Complete microprocessor verification, Tomasulo scheduler, Cache memory interface, Model checking, Formal methods, Theorem proving, Floating point unit
16Giacinto Paolo Saggese, Nicholas J. Wang, Zbigniew Kalbarczyk, Sanjay J. Patel, Ravishankar K. Iyer An Experimental Study of Soft Errors in Microprocessors. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Soft error sensitivity, Assessment and Protection Techniques, Fault Injection, Soft errors, Microprocessor Architecture
16Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner XFM: An incremental methodology for developing formal models. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Extreme formal modeling, prescriptive formal models, property ordering, property refactoring, formal specification, formal verification, extreme programming, SPIN, SMV
16Giacinto Paolo Saggese, Anoop Vetteth, Zbigniew Kalbarczyk, Ravishankar K. Iyer Microprocessor Sensitivity to Failures: Control vs Execution and Combinational vs Sequential Logic. Search on Bibsonomy DSN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tun Li, Dan Zhu, Yang Guo 0003, GongJie Liu, Sikun Li MA2TG: A Functional Test Program Generator for Microprocessor Verification. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Tun Li, Dan Zhu, Lei Liang, Yang Guo 0003, Sikun Li Automatic functional test program generation for microprocessor verification. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Seokkee Kim, Soo-Ik Chae Implementation of a simple 8-bit microprocessor with reversible energy recovery logic. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF clocked power generator (CPG), nMOS reversible energy recovery logic (nRERL), phase scheduling, reversibility breaking, microprocessor
16Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-based delay fault self-testing of pipelined processor cores. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Dirk Leinenbach, Wolfgang J. Paul, Elena Petrova Towards the Formal Verification of a C0 Compiler: Code Generation and Implementation Correctnes. Search on Bibsonomy SEFM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Fulvio Corno, Ernesto Sánchez 0001, Matteo Sonza Reorda, Giovanni Squillero Code Generation for Functional Validation of Pipelined Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF pipelined micro processors, evolutionary algorithms, functional validation, automatic test program generation
16Syed Suhaib, Deepak Mathaikutty, Sandeep K. Shukla, David Berner Extreme Formal Modeling (XFM) for Hardware Models. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Nikil D. Dutt, Yaron Kashai Functional Verification of Pipelined Processors: A Case Study. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Sonia López, Oscar Garnica, José Manuel Colmenar Enhancing GALS Processor Performance Using Data Classification Based on Data Latency. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Nikil D. Dutt Graph-Based Functional Test Program Generation for Pipelined Processors. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Ivan Blunno, Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Kelvin Lwin, Christos P. Sotiriou Handshake Protocols for De-Synchronization. Search on Bibsonomy ASYNC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Peter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Nikil D. Dutt A Methodology for Validation of Microprocessors using Equivalence Checking. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Sven Beyer, Christian Jacobi 0002, Daniel Kroening, Dirk Leinenbach, Wolfgang J. Paul Instantiating Uninterpreted Functional Units and Memory System: Functional Verification of the VAMP. Search on Bibsonomy CHARME The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Miroslav N. Velev Collection of High-Level Microprocessor Bugs from Formal Verification of Pipelined and Superscalar Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Oswaldo Cadenas, Graham M. Megson Pullpipelining: A technique for systolic pipelined circuits. Search on Bibsonomy IWSOC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Prabhat Mishra 0001, Nikil D. Dutt, Alexandru Nicolau, Hiroyuki Tomiyama Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Sumit Ghosh P2EDAS: Asynchronous, Distributed Event Driven Simulation Algorithm with Inconsistent Event Preemption for Accurate Execution of VHDL Descriptions on Parallel Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF simulation of hardware descriptions, inertial delays, descheduling, anticipatory scheduling, preemption of inconsistent events, parallel processing, VLSI, distributed algorithms, discrete event simulation, VHDL, Digital simulation, logic simulation, event driven simulation, timing semantics
16Akira Kitajima, Makiko Itoh, Jun Sato, Akichika Shiomi, Yoshinori Takeuchi, Masaharu Imai Effectiveness of the ASIP design system PEAS-III in design of pipelined processors. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Ta-Chung Chang, Vikram Iyengar, Elizabeth M. Rudnick A Biased Random Instruction Generation Environment for Architectural Verification of Pipelined Processors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF architectural verification, biased random instruction generation, correctness checking, design error coverage, design verification, coverage metrics
16Wei-Cheng Lai, Angela Krstic, Kwang-Ting (Tim) Cheng Functionally Testable Path Delay Faults on a Microprocessor. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Microprocessor self-testing, Path delay fault classification, Functionally testable paths, Functional tests, Delay fault testing
16S. Ramesh 0001, Purandar Bhaduri Validation of Pipelined Processor Designs Using Esterel Tools: A Case Study. Search on Bibsonomy CAV The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Peter Grun, Ashok Halambi, Nikil D. Dutt, Alexandru Nicolau RTGEN: An Algorithm for Automatic Generation of Reservation Tables from Architectural Descriptions. Search on Bibsonomy ISSS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Asheesh Khare, Nicolae Savoiu, Ashok Halambi, Peter Grun, Nikil D. Dutt, Alexandru Nicolau V-SAT: A Visual Specification and Analysis Tool for System-On-Chip Exploration. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Ravi Hosabettu, Mandayam K. Srivas, Ganesh Gopalakrishnan Decomposing the Proof of Correctness of pipelined Microprocessors. Search on Bibsonomy CAV The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16John Matthews, Byron Cook, John Launchbury Microprocessor Specification in Hawk. Search on Bibsonomy ICCL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Microprocessor Verification, Domain-Specific Language, Functional Language, Hardware Verification
16Aarti Gupta, Sharad Malik, Pranav Ashar Toward Formalizing a Validation Methodology Using Simulation Coverage. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Tzer-Shyong Chen, Feipei Lai, Rung-Ji Shang A Simple Tree Pattern Matching Algorithm for Code Generator. Search on Bibsonomy COMPSAC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Intermediate code, Compiler, Code generator, Instruction set, Tree pattern matching
16Jerry R. Burch, David L. Dill Automatic verification of Pipelined Microprocessor Control. Search on Bibsonomy CAV The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Sofiène Tahar, Ramayya Kumar Implementational Issues for Verifying RISC-Pipeline Conflicts in HOL. Search on Bibsonomy TPHOLs The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
16Barry S. Fagin Quantitative measurements of FPGA utility in special and general purpose processors. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #68 of 68 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license