Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
181 | Lin Yuan, Gang Qu 0001 |
Analysis of energy reduction on dynamic voltage scaling-enabled systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(12), pp. 1827-1837, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
140 | Jaehyun Park 0005, Donghwa Shin, Naehyuck Chang, Massoud Pedram |
Accurate modeling and calculation of delay and energy overheads of dynamic voltage scaling in modern high-performance microprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2010 International Symposium on Low Power Electronics and Design, 2010, Austin, Texas, USA, August 18-20, 2010, pp. 419-424, 2010, ACM, 978-1-4503-0146-6. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
DVS overhead model, PLL, DVFS, DC-DC converter |
129 | Ruibin Xu, Rami G. Melhem, Daniel Mossé |
A unified practical approach to stochastic DVS scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 7th ACM & IEEE International conference on Embedded software, EMSOFT 2007, September 30 - October 3, 2007, Salzburg, Austria, pp. 37-46, 2007, ACM, 978-1-59593-825-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
stochastic DVS scheme, real-time, power management, dynamic voltage scaling, fully polynomial time approximation scheme |
121 | Yifan Zhu, Frank Mueller 0001 |
Feedback EDF scheduling exploiting hardware-assisted asynchronous dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'05), Chicago, Illinois, USA, June 15-17, 2005, pp. 203-212, 2005, ACM, 1-59593-018-3. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, dynamic voltage scaling, feedback control |
121 | Fen Xie, Margaret Martonosi, Sharad Malik |
Intraprogram dynamic voltage scaling: Bounding opportunities with analytic modeling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 1(3), pp. 323-367, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
low power, compiler, Analytical model, dynamic voltage scaling, mixed-integer linear programming |
121 | Fen Xie, Margaret Martonosi, Sharad Malik |
Compile-time dynamic voltage scaling settings: opportunities and limits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PLDI ![In: Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation 2003, San Diego, California, USA, June 9-11, 2003, pp. 49-62, 2003, ACM, 1-58113-662-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
low power, compiler, analytical model, dynamic voltage scaling, mixed-integer linear programming |
118 | Chia-Mei Hung, Jian-Jia Chen, Tei-Wei Kuo |
Energy-Efficient Real-Time Task Scheduling for a DVS System with a Non-DVS Processing Element. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 27th IEEE Real-Time Systems Symposium (RTSS 2006), 5-8 December 2006, Rio de Janeiro, Brazil, pp. 303-312, 2006, IEEE Computer Society, 0-7695-2761-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
DVS scheduling, heterogeneous multiprocessor scheduling, energy-efficient scheduling |
111 | Dongkun Shin, Woonseok Kim, Jaekwon Jeon, Jihong Kim 0001, Sang Lyul Min |
SimDVS: An Integrated Simulation Environment for Performance Evaluation of Dynamic Voltage Scaling Algorithms. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers, pp. 141-156, 2002, Springer, 3-540-01028-9. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
109 | Jianli Zhuo, Chaitali Chakrabarti |
Energy-efficient dynamic task scheduling algorithms for DVS systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(2), pp. 17:1-17:25, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
DVS system, Dynamic task scheduling, optimal scaling factor, real time, energy minimization |
107 | Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge |
Reducing pipeline energy demands with local DVS and dynamic retiming. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 319-324, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
dynamic retiming with global DVS, local DVS, razor |
101 | Yifan Zhu, Frank Mueller 0001 |
DVSleak: combining leakage reduction and voltage scaling in feedback EDF scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 31-40, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, dynamic voltage scaling, leakage, feedback control |
91 | Yong Li 0002, Willy Susilo, Yi Mu 0001, Dingyi Pei |
Designated Verifier Signature: Definition, Framework and New Constructions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
UIC ![In: Ubiquitous Intelligence and Computing, 4th International Conference, UIC 2007, Hong Kong, China, July 11-13, 2007, Proceedings, pp. 1191-1200, 2007, Springer, 978-3-540-73548-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
90 | Hongmoon Wang, Hyun Suk Choi, Jong Tae Kim |
Workload-Based Dynamic Voltage Scaling with the QoS for Streaming Video. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DELTA ![In: 4th IEEE International Symposium on Electronic Design, Test and Applications, DELTA 2008, Hong Kong, January 23-25, 2008, pp. 236-239, 2008, IEEE Computer Society, 978-0-7695-3110-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
DVS, Streaming Video |
90 | Lin Yuan, Gang Qu 0001 |
ALT-DVS: Dynamic Voltage Scaling with Awareness of Leakage and Temperature for Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AHS ![In: Second NASA/ESA Conference on Adaptive Hardware and Systems (AHS 2007), August 5-8, 2007, University of Edinburgh, Scotland, United Kingdom, pp. 660-670, 2007, IEEE Computer Society, 0-7695-2866-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
89 | Lingxiang Xiang, Jiangwei Huang, Weihua Sheng, Tianzhou Chen |
The Design and Implementation of the DVS Based Dynamic Compiler for Power Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
APPT ![In: Advanced Parallel Processing Technologies, 7th International Symposium, APPT 2007, Guangzhou, China, November 22-23, 2007, Proceedings, pp. 233-240, 2007, Springer, 978-3-540-76836-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
low power, DVS, dynamic compiler |
89 | Jianli Zhuo, Chaitali Chakrabarti, Naehyuck Chang |
Energy management of DVS-DPM enabled embedded systems powered by fuel cell-battery hybrid source. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 322-327, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
hybrid power, embedded system, DVS, DPM, fuel cell |
81 | Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato |
Dynamic task set partitioning based on balancing memory requirements to reduce power consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 513-514, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scheduling, real-time, multithreaded, multicore, power-aware, coarse-grain |
81 | Liang Di, Mateja Putic, John C. Lach, Benton H. Calhoun |
Power switch characterization for fine-grained dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 26th International Conference on Computer Design, ICCD 2008, 12-15 October 2008, Lake Tahoe, CA, USA, Proceedings, pp. 605-611, 2008, IEEE Computer Society, 978-1-4244-2657-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
81 | Ruibin Xu, Daniel Mossé, Rami G. Melhem |
Minimizing expected energy consumption in real-time systems through dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 25(4), pp. 9, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
processor acceleration to conserve energy, Real-time, power management, dynamic voltage scaling |
81 | Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg |
FAST: Frequency-aware static timing analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 5(1), pp. 200-224, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
scheduling, Real-time systems, dynamic voltage scaling, worst-case execution time analysis |
81 | Taewhan Kim |
Application-Driven Low-Power Techniques Using Dynamic Voltage Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 12th IEEE Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2006), 16-18 August 2006, Sydney, Australia, pp. 199-206, 2006, IEEE Computer Society, 0-7695-2676-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
81 | Kiran Seth, Aravindh Anantaraman, Frank Mueller 0001, Eric Rotenberg |
FAST: Frequency-Aware Static Timing Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 24th IEEE Real-Time Systems Symposium (RTSS 2003), 3-5 December 2003, Cancun, Mexico, pp. 40-51, 2003, IEEE Computer Society, 0-7695-2044-8. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
79 | Jianli Zhuo, Chaitali Chakrabarti |
System-level energy-efficient dynamic task scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 628-631, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
DVS system, dynamic task scheduling, optimal scaling point, real-time, energy minimization |
70 | Jheng-Ming Chen, Kuochen Wang, Ming-Ham Lin |
Energy Efficient Scheduling for Real-Time Systems with Mixed Workload. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 33-44, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
mixed workload real-time system, inter-task dynamic voltage scaling, actual workload, worst case-execution time, slack time |
70 | Padmanabhan Pillai, Kang G. Shin |
Real-Time Dynamic Voltage Scaling for Low-Power Embedded Operating Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SOSP ![In: Proceedings of the 18th ACM Symposium on Operating System Principles, SOSP 2001, Chateau Lake Louise, Banff, Alberta, Canada, October 21-24, 2001, pp. 89-102, 2001, ACM, 1-58113-389-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
70 | Guochen Hua, Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Xue |
Real-Time Loop Scheduling with Energy Optimization Via DVS and ABB for Multi-core Embedded System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUC ![In: Embedded and Ubiquitous Computing, International Conference, EUC 2007, Taipei, Taiwan, December 17-20, 2007, Proceedings, pp. 1-12, 2007, Springer, 978-3-540-77091-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
70 | Jia Yu 0008, Wei Wu 0024, Xi Chen 0024, Harry Hsieh, Jun Yang 0002, Felice Balarin |
Assertion-Based Design Exploration of DVS in Network Processor Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 92-97, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
70 | Anders Brødløs Olsen, Finn Büttner, Peter Koch 0001 |
On Combined DVS and Processor Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PATMOS ![In: Integrated Circuit and System Design, Power and Timing Modeling, Optimization and Simulation; 14th International Workshop, PATMOS 2004, Santorini, Greece, September 15-17, 2004, Proceedings, pp. 322-331, 2004, Springer, 3-540-23095-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
69 | Alexander Maxiaguine, Samarjit Chakraborty, Lothar Thiele |
DVS for buffer-constrained architectures with predictable QoS-energy tradeoffs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CODES+ISSS ![In: Proceedings of the 3rd IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis, CODES+ISSS 2005, Jersey City, NJ, USA, September 19-21, 2005, pp. 111-116, 2005, ACM, 1-59593-161-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
predictable design, QoS, DVS, buffer management |
69 | Wendong Huang, Ye Wang 0007 |
An optimal speed control scheme supported by media servers for low-power multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Multim. Syst. ![In: Multim. Syst. 15(2), pp. 113-124, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Media server supported DVS, Offline bitstream analysis, Optimal speed control scheme, Smoothing |
69 | Albert Mo Kim Cheng, Yan Wang |
A Dynamic Voltage Scaling Algorithm for Dynamic Workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 52(1), pp. 45-57, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
dynamic workloads, scheduling, real-time systems, dynamic voltage scaling (DVS), power-aware computing |
69 | Nevine AbouGhazaleh, Alexandre Peixoto Ferreira, Cosmin Rusu, Ruibin Xu, Frank Liberato, Bruce R. Childers, Daniel Mossé, Rami G. Melhem |
Integrated CPU and l2 cache voltage scaling using machine learning. ![Search on Bibsonomy](Pics/bibsonomy.png) |
LCTES ![In: Proceedings of the 2007 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), San Diego, California, USA, June 13-15, 2007, pp. 41-50, 2007, ACM, 978-1-59593-632-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
integrated DVS policy, machine learning, power management, multiple clock domains |
69 | Eui-Young Chung, Giovanni De Micheli, Luca Benini |
Contents provider-assisted dynamic voltage scaling for low energy multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2002 International Symposium on Low Power Electronics and Design, 2002, Monterey, California, USA, August 12-14, 2002, pp. 42-47, 2002, ACM, 1-58113-475-4. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
DVS(Dynamic Voltage Scaling), contents provider, multimedia, low-power, worst case execution time, characterization |
68 | Hui Liu 0006, Zili Shao, Meng Wang 0005, Junzhao Du, Chun Jason Xue, Zhiping Jia |
Combining Coarse-Grained Software Pipelining with DVS for Scheduling Real-Time Periodic Dependent Tasks on Multi-Core Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(2), pp. 249-262, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Periodic dependent tasks, Scheduling, Multimedia, Real-time, Dynamic voltage scaling (DVS), Multi-core, Software pipelining, Retiming |
60 | Vida Kianzad, Shuvra S. Bhattacharyya, Gang Qu 0001 |
CASPER: An Integrated Energy-Driven Approach for Task Graph Scheduling on Distributed Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASAP ![In: 16th IEEE International Conference on Application-Specific Systems, Architectures, and Processors (ASAP 2005), 23-25 July 2005, Samos, Greece, pp. 191-197, 2005, IEEE Computer Society, 0-7695-2407-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
60 | Yong Li 0002, Helger Lipmaa, Dingyi Pei |
On Delegatability of Four Designated Verifier Signatures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICICS ![In: Information and Communications Security, 7th International Conference, ICICS 2005, Beijing, China, December 10-13, 2005, Proceedings, pp. 61-71, 2005, Springer, 3-540-30934-9. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
non-delegatability, Designated verifier signatures |
60 | Le Yan, Lin Zhong 0001, Niraj K. Jha |
User-perceived latency driven voltage scaling for interactive applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 42nd Design Automation Conference, DAC 2005, San Diego, CA, USA, June 13-17, 2005, pp. 624-627, 2005, ACM, 1-59593-058-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption |
60 | Woonseok Kim, Jihong Kim 0001, Sang Lyul Min |
Preemption-aware dynamic voltage scaling in hard real-time systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 393-398, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
real-time systems, dynamic voltage scaling, low-power systems |
60 | Shaoxiong Hua, Gang Qu 0001 |
Approaching the Maximum Energy Saving on Embedded Systems with Multiple Voltages. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: 2003 International Conference on Computer-Aided Design, ICCAD 2003, San Jose, CA, USA, November 9-13, 2003, pp. 26-29, 2003, IEEE Computer Society / ACM, 1-58113-762-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
60 | Woonseok Kim, Jihong Kim 0001, Sang Lyul Min |
Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2003 International Symposium on Low Power Electronics and Design, 2003, Seoul, Korea, August 25-27, 2003, pp. 396-401, 2003, ACM, 1-58113-682-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
real-time systems, dynamic voltage scaling, low-power systems |
60 | Woonseok Kim, Dongkun Shin, Han-Saem Yun, Jihong Kim 0001, Sang Lyul Min |
Performance Comparison of Dynamic Voltage Scaling Algorithms for Hard Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: Proceedings of the 8th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2002), 24-27 September 2002, San Jose, CA, USA, pp. 219-228, 2002, IEEE Computer Society, 0-7695-1739-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
60 | Christopher J. Hughes, Jayanth Srinivasan, Sarita V. Adve |
Saving energy with architectural and frequency adaptations for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the 34th Annual International Symposium on Microarchitecture, Austin, Texas, USA, December 1-5, 2001, pp. 250-261, 2001, ACM/IEEE Computer Society, 0-7695-1369-7. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
60 | Yan Gu 0003, Samarjit Chakraborty |
A Hybrid DVS Scheme for Interactive 3D Games. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real-Time and Embedded Technology and Applications Symposium ![In: Proceedings of the 14th IEEE Real-Time and Embedded Technology and Applications Symposium, RTAS 2008, April 22-24, 2008, St. Louis, Missouri, USA, pp. 3-12, 2008, IEEE Computer Society, 978-0-7695-3146-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
60 | Yifan Zhu, Frank Mueller 0001 |
Exploiting synchronous and asynchronous DVS for feedback EDF scheduling on an embedded platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Embed. Comput. Syst. ![In: ACM Trans. Embed. Comput. Syst. 7(1), pp. 3:1-3:26, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
scheduling, Real-time systems, dynamic voltage scaling, feedback control |
60 | Huizhan Yi, Juan Chen 0001, Xuejun Yang |
Static WCET Analysis Based Compiler-Directed DVS Energy Optimization in Real-Time Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Asia-Pacific Computer Systems Architecture Conference ![In: Advances in Computer Systems Architecture, 11th Asia-Pacific Conference, ACSAC 2006, Shanghai, China, September 6-8, 2006, Proceedings, pp. 123-136, 2006, Springer, 3-540-40056-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Real-time, Low-power, Compiler, WCET |
60 | Marcus T. Schmitz, Bashir M. Al-Hashimi, Petru Eles |
Energy-Efficient Mapping and Scheduling for DVS Enabled Distributed Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 514-521, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
60 | Alexey Roytman, Israel Ben-Shaul, Israel Cidon |
DVS: A System for Distribution and Management of Global Video on Demand Services. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMCS, Vol. 2 ![In: IEEE International Conference on Multimedia Computing and Systems, ICMCS 1999, Florence, Italy, June 7-11, 1999. Volume II, pp. 343-346, 1999, IEEE Computer Society. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
59 | Barry Rountree, David K. Lowenthal, Bronis R. de Supinski, Martin Schulz 0001, Vincent W. Freeh, Tyler K. Bletsch |
Adagio: making DVS practical for complex HPC applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 23rd international conference on Supercomputing, 2009, Yorktown Heights, NY, USA, June 8-12, 2009, pp. 460-469, 2009, ACM, 978-1-60558-498-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dvs, energy, runtime, mpi, dvfs |
59 | Houman Zarrabi, Asim J. Al-Khalili, Yvon Savaria |
An interconnect-aware delay model for dynamic voltage scaling in NM technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 19th ACM Great Lakes Symposium on VLSI 2009, Boston Area, MA, USA, May 10-12 2009, pp. 45-50, 2009, ACM, 978-1-60558-522-2. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
dynamic voltage scaling (dvs), interconnects, delay model |
59 | Chuan-Yue Yang, Jian-Jia Chen, Tei-Wei Kuo |
Preemption Control for Energy-Efficient Task Scheduling in Systems with a DVS Processor and Non-DVS Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTCSA ![In: 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 21-24 August 2007, Daegu, Korea, pp. 293-300, 2007, IEEE Computer Society, 0-7695-2975-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
58 | Heechul Yun, Po-Liang Wu, Anshu Arya, Tarek F. Abdelzaher, Cheolgi Kim, Lui Sha |
System-Wide Energy Optimization for Multiple DVS Components and Real-Time Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ECRTS ![In: 22nd Euromicro Conference on Real-Time Systems, ECRTS 2010, Brussels, Belgium, July 6-9, 2010, pp. 133-142, 2010, IEEE Computer Society, 978-0-7695-4111-2. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
Multi-DVS, DVS, Energy Optimization |
50 | Diana Bautista, Julio Sahuquillo, Houcine Hassan, Salvador Petit, José Duato |
Dynamic task set partitioning based on balancing resource requirements and utilization to reduce power consumption. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2010 ACM Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010, pp. 521-526, 2010, ACM, 978-1-60558-639-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
|
50 | Emrah Akyol, Mihaela van der Schaar |
Compression-Aware Energy Optimization for Video Decoding Systems With Passive Power. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Circuits Syst. Video Technol. ![In: IEEE Trans. Circuits Syst. Video Technol. 18(9), pp. 1300-1306, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Massimo Canale, Lorenzo Fagiano, Fredy Ruiz, Maria Carmela Signorile |
A study on the use of virtual sensors in vehicle control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CDC ![In: Proceedings of the 47th IEEE Conference on Decision and Control, CDC 2008, December 9-11, 2008, Cancún, Mexico, pp. 4402-4407, 2008, IEEE, 978-1-4244-3123-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Junho Ahn, Jung-Hi Min, Hojung Cha, Rhan Ha |
A Power Management mechanism for Handheld Systems having a Multimedia Accelerator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PerCom ![In: Sixth Annual IEEE International Conference on Pervasive Computing and Communications (PerCom 2008), 17-21 March 2008, Hong Kong, pp. 663-668, 2008, IEEE Computer Society, 978-0-7695-3113-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
handheld systems, multimedia accelerator, power management, CPU |
50 | Vinay Devadas, Hakan Aydin |
On the interplay of dynamic voltage scaling and dynamic power management in real-time embedded applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EMSOFT ![In: Proceedings of the 8th ACM & IEEE International conference on Embedded software, EMSOFT 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 99-108, 2008, ACM, 978-1-60558-468-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
real-time systems, dynamic voltage scaling, energy management, dynamic power management |
50 | Nevine AbouGhazaleh, Bruce R. Childers, Daniel Mossé, Rami G. Melhem |
Integrated CPU Cache Power Management in Multiple Clock Domain Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Third International Conference, HiPEAC 2008, Göteborg, Sweden, January 27-29, 2008, Proceedings, pp. 209-223, 2008, Springer, 978-3-540-77559-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Zhen Cao, Brian Foo, Lei He 0001, Mihaela van der Schaar |
Optimality and improvement of dynamic voltage scaling algorithms for multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 179-184, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
multimedia, power management, dynamic voltage scaling |
50 | Frances F. Yao |
Algorithmic Problems in Scheduling Jobs on Variable-Speed Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CPM ![In: Combinatorial Pattern Matching, 18th Annual Symposium, CPM 2007, London, Canada, July 9-11, 2007, Proceedings, pp. 3, 2007, Springer, 978-3-540-73436-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Weng-Fai Wong, Cheng-Kok Koh, Yiran Chen 0001, Hai Li 0001 |
VOSCH: Voltage scaled cache hierarchies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 25th International Conference on Computer Design, ICCD 2007, 7-10 October 2007, Lake Tahoe, CA, USA, Proceedings, pp. 496-503, 2007, IEEE, 1-4244-1258-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Min Li, Zihua Guo, Richard Yuqi Yao, Wenwu Zhu 0001 |
A Novel Penalty Controllable Dynamic Voltage Scaling Scheme for Mobile Multimedia Applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Mob. Comput. ![In: IEEE Trans. Mob. Comput. 5(12), pp. 1719-1733, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Low power, Dynamic Voltage Scaling, mobile multimedia |
50 | Dongkun Shin, Jihong Kim 0001 |
Dynamic voltage scaling of mixed task sets in priority-driven systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(3), pp. 438-453, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner |
The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Very Large Scale Integr. Syst. ![In: IEEE Trans. Very Large Scale Integr. Syst. 13(11), pp. 1239-1252, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Yifan Zhu, Frank Mueller 0001 |
Feedback EDF Scheduling of Real-Time Tasks Exploiting Dynamic Voltage Scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 31(1-3), pp. 33-63, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
scheduling, real-time systems, dynamic voltage scaling, feedback control |
50 | Le Yan, Jiong Luo, Niraj K. Jha |
Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(7), pp. 1030-1041, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Dong Wu, Bashir M. Al-Hashimi, Marcus T. Schmitz, Petru Eles |
Power-Composition Profile Driven Co-Synthesis with Power Management Selection for Dynamic and Leakage Energy Reduction. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Eighth Euromicro Symposium on Digital Systems Design (DSD 2005), 30 August - 3 September 2005, Porto, Portugal, pp. 34-41, 2005, IEEE Computer Society, 0-7695-2433-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Dongkun Shin, Jihong Kim 0001 |
Dynamic voltage scaling of periodic and aperiodic tasks in priority-driven systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004, pp. 653-658, 2004, IEEE Computer Society, 0-7803-8175-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Chung-Hsing Hsu, Wu-chun Feng |
Effective Dynamic Voltage Scaling Through CPU-Boundedness Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACS ![In: Power-Aware Computer Systems, 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers, pp. 135-149, 2004, Springer, 3-540-29790-1. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Jinfeng Liu 0006, Pai H. Chou |
Distributed Embedded Systems for Low Power: A Case Study. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 18th International Parallel and Distributed Processing Symposium (IPDPS 2004), CD-ROM / Abstracts Proceedings, 26-30 April 2004, Santa Fe, New Mexico, USA, 2004, IEEE Computer Society, 0-7695-2132-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Cheol-Hoon Lee, Kang G. Shin |
On-Line Dynamic Voltage Scaling for Hard Real-Time Systems Using the EDF Algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 25th IEEE Real-Time Systems Symposium (RTSS 2004), 5-8 December 2004, Lisbon, Portugal, pp. 319-327, 2004, IEEE Computer Society, 0-7695-2247-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
50 | Youngjin Cho, Naehyuck Chang |
Memory-aware energy-optimal frequency assignment for dynamic supply voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2004 International Symposium on Low Power Electronics and Design, 2004, Newport Beach, California, USA, August 9-11, 2004, pp. 387-392, 2004, ACM. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
low power, memory system, SDRAM |
50 | Yanbin Liu, Aloysius K. Mok |
An Integrated Approach for Applying Dynamic Voltage Scaling to Hard Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: Proceedings of the 9th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2003), May 27-30, 2003, Toronto, Canada, pp. 116-123, 2003, IEEE Computer Society, 0-7695-1956-3. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
50 | Ana Azevedo, Ilya Issenin, Radu Cornea, Rajesh Gupta 0001, Nikil D. Dutt, Alexander V. Veidenbaum, Alexandru Nicolau |
Profile-Based Dynamic Voltage Scheduling Using Program Checkpoints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 168-175, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
50 | Woonseok Kim, Jihong Kim 0001, Sang Lyul Min |
A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2002 Design, Automation and Test in Europe Conference and Exposition (DATE 2002), 4-8 March 2002, Paris, France, pp. 788-794, 2002, IEEE Computer Society, 0-7695-1471-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
50 | Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David T. Blaauw |
Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCAD ![In: Proceedings of the 2002 IEEE/ACM International Conference on Computer-aided Design, ICCAD 2002, San Jose, California, USA, November 10-14, 2002, pp. 721-725, 2002, ACM / IEEE Computer Society, 0-7803-7607-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
50 | Jinson Koppanalil, Prakash Ramrakhyani, Sameer Desai, Anu Vaidyanathan, Eric Rotenberg |
A case for dynamic pipeline scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES 2002, Greenoble, France, October 8-11, 2002, pp. 1-8, 2002, ACM, 1-58113-575-0. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
configurable pipeline, fetch gating, power and energy management, shallow and deep pipelines, variable-depth pipeline, dynamic voltage scaling, clock gating |
50 | Xin Huang, Kenli Li 0001, Renfa Li |
A Energy Efficient Scheduling Base on Dynamic Voltage and Frequency Scaling for Multi-core Embedded Real-Time System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 9th International Conference, ICA3PP 2009, Taipei, Taiwan, June 8-11, 2009. Proceedings, pp. 137-145, 2009, Springer, 978-3-642-03094-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
real-time, energy-efficiency, embedded, DVS, multi-core, leakage power, EDF |
50 | Masanori Kurimoto, Hiroaki Suzuki, Rei Akiyama, Tadao Yamanaka, Haruyuki Ohkuma, Hidehiro Takata, Hirofumi Shinohara |
Phase-adjustable error detection flip-flops with 2-stage hold driven optimization and slack based grouping scheme for dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 45th Design Automation Conference, DAC 2008, Anaheim, CA, USA, June 8-13, 2008, pp. 884-889, 2008, ACM, 978-1-60558-115-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
CTS, error-detection flip-flop, DVS, STA |
50 | Youngjin Cho, Younghyun Kim 0001, Naehyuck Chang |
PVS: passive voltage scaling for wireless sensor networks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2007 International Symposium on Low Power Electronics and Design, 2007, Portland, OR, USA, August 27-29, 2007, pp. 135-140, 2007, ACM, 978-1-59593-709-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
embedded system, low-power, wireless, DVS, PVS, sensor node |
50 | Suleyman Tosun, Nazanin Mansouri, Mahmut T. Kandemir, Ozcan Ozturk 0001 |
An ILP Formulation for Task Scheduling on Heterogeneous Chip Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCIS ![In: Computer and Information Sciences - ISCIS 2006, 21th International Symposium, Istanbul, Turkey, November 1-3, 2006, Proceedings, pp. 267-276, 2006, Springer, 3-540-47242-8. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Reliability, DVS, energy minimization, duplication, heterogeneous chip multiprocessors |
50 | Edward T.-H. Chu, Tai-Yi Huang, Cheng-Han Tsai, Jian-Jia Chen, Tei-Wei Kuo |
A DVS-assisted hard real-time I/O device scheduling algorithm. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Real Time Syst. ![In: Real Time Syst. 41(3), pp. 222-255, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Dynamic voltage scaling, Dynamic power management, Real-time embedded systems |
50 | Da-Ren Chen, Shu-Ming Hsieh, Ming-Fong Lai |
Efficient Algorithms for Jitterless Real-Time Tasks to DVS Schedules. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PDCAT ![In: Ninth International Conference on Parallel and Distributed Computing, Applications and Technologies, PDCAT 2008, Dunedin, Otago, New Zealand, 1-4 December 2008, pp. 319-322, 2008, IEEE Computer Society, 978-0-7695-3443-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
50 | Mauro Marinoni, Giorgio C. Buttazzo |
Elastic DVS Management in Processors With Discrete Voltage/Frequency Modes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Informatics ![In: IEEE Trans. Ind. Informatics 3(1), pp. 51-62, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Man Lin, Chen Ding |
Parallel Genetic Algorithms for DVS Scheduling of Distributed Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCC ![In: High Performance Computing and Communications, Third International Conference, HPCC 2007, Houston, USA, September 26-28, 2007, Proceedings, pp. 180-191, 2007, Springer, 978-3-540-75443-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Kyong Hoon Kim, Rajkumar Buyya, Jong Kim 0001 |
Power Aware Scheduling of Bag-of-Tasks Applications with Deadline Constraints on DVS-enabled Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Seventh IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2007), 14-17 May 2007, Rio de Janeiro, Brazil, pp. 541-548, 2007, IEEE Computer Society, 0-7695-2833-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Rong Ge 0002, Xizhou Feng, Kirk W. Cameron |
Performance-constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SC ![In: Proceedings of the ACM/IEEE SC2005 Conference on High Performance Networking and Computing, November 12-18, 2005, Seattle, WA, USA, CD-Rom, pp. 34, 2005, IEEE Computer Society, 1-59593-061-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
50 | Dennis M. Moen, J. Mark Pullen, Fei Zhao |
Implementation of Host-Based Overlay Multicast to Support of Web Based Services for RT-DVS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DS-RT ![In: 8th IEEE International Symposium on Distributed Simulation and Real-Time Applications (DS-RT 2004), 21-23 October 2004, Budapest, Hungary, pp. 4-11, 2004, IEEE Computer Society, 0-7695-2232-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
48 | Alireza Ejlali, Marcus T. Schmitz, Bashir M. Al-Hashimi, Seyed Ghassem Miremadi, Paul M. Rosinger |
Energy efficient SEU-tolerance in DVS-enabled real-time systems through information redundancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 281-286, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
single event upset (SEU), dynamic voltage scaling (DVS), information redundancy |
46 | Panos Markopoulos 0001, Peter Johnson 0001 (eds.) |
Design, Specification and Verification of Interactive Systems'98, Supplementary Proceedings of the Fifth International Eurographics Workshop, June 3-5, 1998, Abingdon, United Kingdom ![Search on Bibsonomy](Pics/bibsonomy.png) |
DVS-IS ![Eurographics Association The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP BibTeX RDF |
|
40 | Pepijn J. de Langen, Ben H. H. Juurlink |
Leakage-Aware Multiprocessor Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Signal Process. Syst. ![In: J. Signal Process. Syst. 57(1), pp. 73-88, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Scheduling, Multiprocessor, Leakage power, Voltage scaling |
40 | Xia Zhao, Yao Guo 0001, Xiangqun Chen |
Transaction-based adaptive dynamic voltage scaling for interactive applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2009 International Symposium on Low Power Electronics and Design, 2009, San Fancisco, CA, USA, August 19-21, 2009, pp. 255-260, 2009, ACM, 978-1-60558-684-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
scheduling, interaction, dynamic voltage and frequency scaling |
40 | Heng Yu 0001, Bharadwaj Veeravalli, Yajun Ha |
Dynamic scheduling of imprecise-computation tasks in maximizing QoS under energy constraints for embedded systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 13th Asia South Pacific Design Automation Conference, ASP-DAC 2008, Seoul, Korea, January 21-24, 2008, pp. 452-455, 2008, IEEE, 978-1-4244-1921-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Seungyong Oh, Jungsoo Kim, Seonpil Kim, Chong-Min Kyung |
Task partitioning algorithm for intra-task dynamic voltage scaling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS ![In: International Symposium on Circuits and Systems (ISCAS 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, USA, pp. 1228-1231, 2008, IEEE, 978-1-4244-1683-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Yan Gu 0003, Samarjit Chakraborty |
Power Management of Interactive 3D Games Using Frame Structures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 679-684, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
40 | Xiliang Zhong, Cheng-Zhong Xu 0001 |
Energy-Aware Modeling and Scheduling for Dynamic Voltage Scaling with Statistical Real-Time Guarantee. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(3), pp. 358-372, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Real-time systems, dynamic voltage scaling, dynamic power management, power-aware scheduling |
40 | Tibor Horvath, Tarek F. Abdelzaher, Kevin Skadron, Xue Liu 0001 |
Dynamic Voltage Scaling in Multitier Web Servers with End-to-End Delay Control. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 56(4), pp. 444-458, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
voltage control, distributed algorithms, Power management, pipeline processing, optimization methods, network servers, soft real-time systems |
40 | Yongseok Choi, Naehyuck Chang, Taewhan Kim |
DC-DC Converter-Aware Power Management for Low-Power Embedded Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8), pp. 1367-1381, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Chuan-Yue Yang, Jian-Jia Chen, Chia-Mei Hung, Tei-Wei Kuo |
System-Level Energy-Efficiency for Real-Time Tasks. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Tenth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2007), 7-9 May 2007, Santorini Island, Greece, pp. 266-273, 2007, IEEE Computer Society, 0-7695-2765-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Real-Time Process Scheduling, Embedded Systems, Energy-Efficient Scheduling |
40 | Pepijn J. de Langen, Ben H. H. Juurlink |
Trade-Offs Between Voltage Scaling and Processor Shutdown for Low-Energy Embedded Multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAMOS ![In: Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop, SAMOS 2007, Samos, Greece, July 16-19, 2007, Proceedings, pp. 75-85, 2007, Springer, 978-3-540-73622-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
40 | Haklin Kimm, Sung Y. Shin, Chang Oan Sung |
Evaluation of interval-based dynamic voltage scaling algorithms on mobile Linux system. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SAC ![In: Proceedings of the 2007 ACM Symposium on Applied Computing (SAC), Seoul, Korea, March 11-15, 2007, pp. 1141-1145, 2007, ACM, 1-59593-480-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
dynamic voltage scaling algorithm, mobile Linux system, scheduling |