|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 760 occurrences of 618 keywords
|
|
|
Results
Found 2709 publication records. Showing 2709 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
67 | Rouwaida Kanj, Rajiv V. Joshi, Keunwoo Kim, Richard Williams, Sani R. Nassif |
Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T Column-Decoupled SRAM Cell Yield. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISQED ![In: 9th International Symposium on Quality of Electronic Design (ISQED 2008), 17-19 March 2008, San Jose, CA, USA, pp. 702-707, 2008, IEEE Computer Society, 978-0-7695-3117-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
decoupled design, 8T, 6T, stacked devices, stability, yield, sram, double gate |
58 | Hongzhong Zheng, Jiang Lin, Zhao Zhang 0010, Zhichun Zhu |
Decoupled DIMM: building high-bandwidth memory system using low-speed DRAM devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 255-266, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
bandwidth decoupling, decoupled DIMM, DRAM memories |
58 | Won Woo Ro, Stephen P. Crago, Alvin M. Despain, Jean-Luc Gaudiot |
Design and evaluation of a hierarchical decoupled architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 38(3), pp. 237-259, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Memory latency hiding, Parallel architecture, Multithreading, Instruction level parallelism, Speculative execution, Data prefetching, Decoupled architectures |
52 | Mitul Saha, Pekka Isto |
Multi-Robot Motion Planning by Incremental Coordination. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 5960-5963, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
50 | Peyman Razaghi, Babak Hossein Khalaj, Mehrdad Shamsi |
Linear Decoupled and Quasi-Decoupled Space-Time Codes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Commun. ![In: IEEE Trans. Commun. 55(1), pp. 54-59, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Jian-Long Kuo |
Intelligent Decoupled SAC-SVD Method in Color Space Transformation of Computer Vision. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEA/AIE ![In: Next-Generation Applied Intelligence, 22nd International Conference on Industrial, Engineering and Other Applications of Applied Intelligent Systems, IEA/AIE 2009, Tainan, Taiwan, June 24-27, 2009. Proceedings, pp. 56-65, 2009, Springer, 978-3-642-02567-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable computing system (RCS), single assignment C (SAC), chromaticity coordinate, parallel computing, singular value decomposition (SVD), VHDL, data flow graph (DFG), color space, Decoupled |
50 | Won Woo Ro, Jean-Luc Gaudiot, Stephen P. Crago, Alvin M. Despain |
HiDISC: A Decoupled Architecture for Data-Intensive Application. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 17th International Parallel and Distributed Processing Symposium (IPDPS 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings, pp. 3, 2003, IEEE Computer Society, 0-7695-1926-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
Memory access latency and Speculative pre-execution, Data prefetching, Data-intensive applications, Decoupled architecture |
50 | Dimitris M. Emiris, Vassilios D. Tourassis |
Singularity-robust decoupled control of dual-elbow manipulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 8(2), pp. 225-243, 1993. The full citation details ...](Pics/full.jpeg) |
1993 |
DBLP DOI BibTeX RDF |
Dual-elbow manipulators, decoupled geometries, robot singularities, robot kinematics |
47 | Athanasios Milidonis, Nikolaos Alachiotis 0002, Vasileios Porpodas, Haralambos Michail, Athanasios Kakarountas, Constantinos E. Goutis |
Interactive presentation: A decoupled architecture of processors with scratch-pad memory hierarchy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 612-617, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Michael Sung, Ronny Krashinsky, Krste Asanovic |
Multithreading decoupled architectures for complexity-effective general purpose computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 29(5), pp. 56-61, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
47 | Graham P. Jones, Nigel P. Topham |
A Comparison of Data Prefetching on an Access Decoupled and Superscalar Machine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: Proceedings of the Thirtieth Annual IEEE/ACM International Symposium on Microarchitecture, MICRO 30, Research Triangle Park, North Carolina, USA, December 1-3, 1997, pp. 65-70, 1997, ACM/IEEE Computer Society, 0-8186-7977-8. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Access Decoupling, Superscalar, out of order execution, latency hiding |
47 | Steven K. Reinhardt, Robert W. Pfile, David A. Wood 0001 |
Decoupled Hardware Support for Distributed Shared Memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: Proceedings of the 23rd Annual International Symposium on Computer Architecture, Philadelphia, PA, USA, May 22-24, 1996, pp. 34-43, 1996, ACM, 0-89791-786-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
41 | Xiansheng Guo, Qun Wan, Wan-Lin Yang, Xuemei Lei |
Low-complexity 2D coherently distributed sources decoupled DOAs estimation method. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sci. China Ser. F Inf. Sci. ![In: Sci. China Ser. F Inf. Sci. 52(5), pp. 835-842, 2009. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
2D coherently distributed source, uniform linear array, direction-of-arrival (DOA), decoupled estimation, quadric rotational invariance property (QRIP) |
41 | Ram Rangan, Neil Vachharajani, Guilherme Ottoni, David I. August |
Performance scalability of decoupled software pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 5(2), pp. 8:1-8:25, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Decoupled software pipelining, performance analysis |
41 | Timothy Boudreau, Jaroslav Tulach, Rich Unger |
Decoupled design: building applications on the NetBeans platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2006, October 22-26, 2006, Portland, Oregon, USA, pp. 854, 2006, ACM, 1-59593-491-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
NetBeans, Java, modular, decoupled, loose coupling, API design |
41 | Timothy Boudreau, Jaroslav Tulach, Rich Unger |
Decoupled design: building applications on the NetBeans platform. ![Search on Bibsonomy](Pics/bibsonomy.png) |
OOPSLA Companion ![In: Companion to the 21th Annual ACM SIGPLAN Conference on Object-Oriented Programming, Systems, Languages, and Applications, OOPSLA 2006, October 22-26, 2006, Portland, Oregon, USA, pp. 631, 2006, ACM, 1-59593-491-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
NetBeans, Java, modular, decoupled, loose coupling, API design |
41 | Roger Espasa, Mateo Valero |
Decoupled Vector Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996, pp. 281-290, 1996, IEEE Computer Society, 0-8186-7237-4. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
decoupled vector architectures, trace driven approach, Perfect Club programs, realistic memory latencies, bypassing technique, total memory traffic, performance advantages, performance evaluation, performance, vector processor, vector processor systems, hardware cost |
41 | Ian Watson, Alasdair Rawsthorne |
Decoupled pre-fetching for distributed shared memory. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HICSS (1) ![In: 28th Annual Hawaii International Conference on System Sciences (HICSS-28), January 3-6, 1995, Kihei, Maui, Hawaii, USA, pp. 252-261, 1995, IEEE Computer Society, 0-8186-6945-4. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
partial evaluation (compilers), distributed shared memory environment, decoupled pre-fetching, global view, remote memory copies, user annotations, compile-time analysis, run-time prediction, irregular access patterns, dual processor structure, partial program evaluation, data fetches, parallel architectures, parallel machine, shared memory systems, distributed memory systems, memory architecture |
38 | Liang Ding 0001, Kazuya Yoshida, Keiji Nagatani, Haibo Gao, Zongquan Deng |
Parameter identification for planetary soil based on a decoupled analytical wheel-soil interaction terramechanics model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2009 IEEE/RSJ International Conference on Intelligent Robots and Systems, October 11-15, 2009, St. Louis, MO, USA, pp. 4122-4127, 2009, IEEE, 978-1-4244-3803-7. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
38 | Shouxiang Wang, Chengshan Wang, Gaolei Zhang, Ge Zhao |
Fast Decoupled Power Flow Using Interval Arithmetic Considering Uncertainty in Power Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISNN (3) ![In: Advances in Neural Networks - ISNN 2009, 6th International Symposium on Neural Networks, ISNN 2009, Wuhan, China, May 26-29, 2009, Proceedings, Part III, pp. 1171-1178, 2009, Springer, 978-3-642-01512-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Uncertainty, Monte Carlo simulation, Interval arithmetic, power flow |
38 | Yang Zhang, Anirban Mandal, Henri Casanova, Andrew A. Chien, Yang-Suk Kee, Ken Kennedy, Charles Koelbel |
Scalable Grid Application Scheduling via Decoupled Resource Selection and Scheduling. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCGRID ![In: Sixth IEEE International Symposium on Cluster Computing and the Grid (CCGrid 2006), 16-19 May 2006, Singapore, pp. 568-575, 2006, IEEE Computer Society, 0-7695-2585-7. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
38 | Takahiro Murata, Naftaly H. Minsky |
On Shouting "Fire!": Regulating Decoupled Communication in Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Middleware ![In: Middleware 2003, ACM/IFIP/USENIX International Middleware Conference, Rio de Janeiro, Brazil, June 16-20, 2003, Proceedings, pp. 222-241, 2003, Springer, 3-540-40317-5. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
38 | Kevin D. Rich, Matthew K. Farrens |
The Decoupled-Style Prefetch Architecture (Research Note). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 989-993, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
38 | Akira Kato, Mitsutaka Katada, Toyoharu Kamiya, Toyoki Ito, Tadashi Hattori |
A rapid, stable decoupled algorithm for solving semiconductor hydrodynamic equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. ![In: IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(11), pp. 1425-1428, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
|
38 | Paraskevas Evripidou, Jean-Luc Gaudiot |
A Decoupled Data-Driven Architecture with Vectors and Macro Actors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CONPAR ![In: CONPAR 90 - VAPP IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings, pp. 39-50, 1990, Springer, 3-540-53065-7. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
|
38 | Krishna M. Kavi, Roberto Giorgi, Joseph Arul |
Scheduled Dataflow: Execution Paradigm, Architecture, and Performance Evaluation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(8), pp. 834-846, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
superscalar, Thread Level Parallelism, Multithreaded architectures, decoupled architectures, dataflow architectures |
38 | William F. Richardson, Erik Brunvand |
Precise exception handling for a self-timed processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: 1995 International Conference on Computer Design (ICCD '95), VLSI in Computers and Processors, October 2-4, 1995, Austin, TX, USA, Proceedings, pp. 32-37, 1995, IEEE Computer Society, 0-8186-7165-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
precise exception handling, self-timed processor, multiple concurrent processes, self-timed queues, decoupled computer architectures, micropipelined processor, Fred, pipelined computer architecture, out-of-order instruction completion, parallel architectures, exception handling, instruction level parallelism, self-adjusting systems, self-timed systems |
34 | Vassilios D. Tourassis, Marcelo H. Ang |
Task decoupling in robot manipulators. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Intell. Robotic Syst. ![In: J. Intell. Robotic Syst. 14(3), pp. 283-302, 1995. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
task decoupling, robot motion, robot tasks, robot position and orientation, Inverse kinematics, singularities |
33 | Glenn Reinman, Brad Calder, Todd M. Austin |
Optimizations Enabled by a Decoupled Front-End Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 50(4), pp. 338-355, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
fetch architectures, branch prediction, Decoupled architectures, instruction prefetching |
33 | André Seznec |
Decoupled Sectored Caches. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 46(2), pp. 210-215, 1997. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
Sectored caches, tag volume, decoupled sectored caches, second-level caches |
33 | James E. Smith 0001, Shlomo Weiss, Nicholas Y. Pang |
A Simulation Study of Decoupled Architecture Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 35(8), pp. 692-702, 1986. The full citation details ...](Pics/full.jpeg) |
1986 |
DBLP DOI BibTeX RDF |
performance evaluation, scientific computers, supercomputers, pipelined processors, Decoupled architectures |
33 | James E. Smith 0001 |
Decoupled Access/Execute Computer Architectures ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 2(4), pp. 289-308, 1984. The full citation details ...](Pics/full.jpeg) |
1984 |
DBLP DOI BibTeX RDF |
pipelined computer systems, scalar processing, decoupled architectures |
29 | Omar Tahri, Youcef Mezouar, François Chaumette, Peter I. Corke |
Generic decoupled image-based visual servoing for cameras obeying the unified projection model. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2009 IEEE International Conference on Robotics and Automation, ICRA 2009, Kobe, Japan, May 12-17, 2009, pp. 1116-1121, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
29 | Dongwook Ha, JungHyun Han |
Motion synthesis with decoupled parameterization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Vis. Comput. ![In: Vis. Comput. 24(7-9), pp. 587-594, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Parametric motion synthesis, Motion capture, Character animation, Motion blending |
29 | Alok Garg, Michael C. Huang 0001 |
A performance-correctness explicitly-decoupled architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 41st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-41 2008), November 8-12, 2008, Lake Como, Italy, pp. 306-317, 2008, IEEE Computer Society, 978-1-4244-2836-6. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
29 | Easwaran Raman, Guilherme Ottoni, Arun Raman, Matthew J. Bridges, David I. August |
Parallel-stage decoupled software pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Sixth International Symposium on Code Generation and Optimization (CGO 2008), April 5-9, 2008, Boston, MA, USA, pp. 114-123, 2008, ACM, 978-1-59593-978-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
doall, dswp, tlp, automatic parallelization, multi-core architectures, pipelined parallelism |
29 | Neil Vachharajani, Ram Rangan, Easwaran Raman, Matthew J. Bridges, Guilherme Ottoni, David I. August |
Speculative Decoupled Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PACT ![In: 16th International Conference on Parallel Architectures and Compilation Techniques (PACT 2007), Brasov, Romania, September 15-19, 2007, pp. 49-59, 2007, IEEE Computer Society, 0-7695-2944-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Yen-Jen Chang, Yuan-Hong Liao, Shanq-Jang Ruan |
Improve CAM power efficiency using decoupled match line scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2007 Design, Automation and Test in Europe Conference and Exposition, DATE 2007, Nice, France, April 16-20, 2007, pp. 165-170, 2007, EDA Consortium, San Jose, CA, USA, 978-3-9810801-2-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Lawton N. Verner, Allison M. Okamura |
Effects of Translational and Gripping Force Feedback are Decoupled in a 4-Degree-of-Freedom Telemanipulator. ![Search on Bibsonomy](Pics/bibsonomy.png) |
WHC ![In: Second Joint EuroHaptics Conference and Symposium on Haptic Interfaces for Virtual Environment and Teleoperator Systems, WHC 2007, Tsukuba, Japan, March 22-24, 2007, pp. 286-291, 2007, IEEE Computer Society, 978-0-7695-2738-3. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
29 | Xueyan Tang, I-Ming Chen |
A Large-Displacement 3-DOF Flexure Parallel Mechanism with Decoupled Kinematics Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 1668-1673, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Won Woo Ro, Jean-Luc Gaudiot |
Design and Effectiveness of Small-Sized Decoupled Dispatch Queues. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings, pp. 485-494, 2006, Springer, 3-540-37783-2. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Shengbing Jiang |
A Decoupled Scheduling Approach For Distributed Real-Time Embedded Automotive Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Real Time Technology and Applications Symposium ![In: 12th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2006), 4-7 April 2006, San Jose, California, USA, pp. 191-198, 2006, IEEE Computer Society, 0-7695-2516-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Indra Widjaja |
Next-Generation Packet Network Architectures with Decoupled Service Plane and Transport Plane. ![Search on Bibsonomy](Pics/bibsonomy.png) |
BROADNETS ![In: 3rd International Conference on Broadband Communications, Networks, and Systems (BROADNETS 2006), 1-5 October 2006, San José, California, USA, 2006, IEEE, 978-1-4244-0425-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
29 | Ozgur Sinanoglu, Alex Orailoglu |
Test power reductions through computationally efficient, decoupled scan chain modifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 54(2), pp. 215-223, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Zhan Wang, Shoudong Huang, Gamini Dissanayake |
D-SLAM: Decoupled Localization and Mapping for Autonomous Robots. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISRR ![In: Robotics Research: Results of the 12th International Symposium, ISRR 2005, October 12-15, 2005, San Francisco, CA, USA, pp. 203-213, 2005, Springer, 978-3-540-48110-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Guilherme Ottoni, Ram Rangan, Adam Stoler, David I. August |
Automatic Thread Extraction with Decoupled Software Pipelining. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-38 2005), 12-16 November 2005, Barcelona, Spain, pp. 105-118, 2005, IEEE Computer Society, 0-7695-2440-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
29 | Omar Tahri, François Chaumette |
Image Moments: Generic Descriptors for Decoupled Image-based Visual Servo. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: Proceedings of the 2004 IEEE International Conference on Robotics and Automation, ICRA 2004, April 26 - May 1, 2004, New Orleans, LA, USA, pp. 1185-1190, 2004, IEEE. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
29 | Amol Deshpande, Joseph M. Hellerstein |
Decoupled Query Optimization for Federated Database Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDE ![In: Proceedings of the 18th International Conference on Data Engineering, San Jose, CA, USA, February 26 - March 1, 2002, pp. 716-727, 2002, IEEE Computer Society, 0-7695-1531-2. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
query optimization, federated databases |
29 | Deependra Talla, Lizy Kurian John |
MediaBreeze: a decoupled architecture for accelerating multimedia applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SIGARCH Comput. Archit. News ![In: SIGARCH Comput. Archit. News 29(5), pp. 62-67, 2001. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
access and execute mechanisms, multimedia, prefetching, SIMD, hardware accelerators, decoupling, microprocessor design, general-purpose processors |
29 | Maren Bennewitz, Wolfram Burgard, Sebastian Thrun |
Constraint-Based Optimization of Priority Schemes for Decoupled Path Planning Techniques. ![Search on Bibsonomy](Pics/bibsonomy.png) |
KI/ÖGAI ![In: KI 2001: Advances in Artificial Intelligence, Joint German/Austrian Conference on AI, Vienna, Austria, September 19-21, 2001, Proceedings, pp. 78-93, 2001, Springer, 3-540-42612-4. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
29 | Kevin D. Rich, Matthew K. Farrens |
Code Partitioning in Decoupled Compilers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Euro-Par ![In: Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings., pp. 1008-1017, 2000, Springer, 3-540-67956-1. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
29 | Roger Espasa, Mateo Valero |
A Simulation Study of Decoupled Vector Architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 14(2), pp. 124-152, 1999. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
instruction-level parallelism, decoupling, memory latency, vector architectures |
29 | Akhilesh Tyagi, Hon-Chi Ng, Prasant Mohapatra |
Dynamic Branch Decoupled Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCD ![In: Proceedings of the IEEE International Conference On Computer Design, VLSI in Computers and Processors, ICCD '99, Austin, Texas, USA, October 10-13, 1999, pp. 442-, 1999, IEEE Computer Society, 0-7695-0406-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
dynamic, decoupling, branches |
29 | Joan-Manuel Parcerisa, Antonio González 0001 |
The Latency Hiding Effectiveness of Decoupled Access/Execute Processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EUROMICRO ![In: 24th EUROMICRO '98 Conference, Engineering Systems and Software for the Next Decade, 25-27 August 1998, Vesteras, Sweden, pp. 10293-10300, 1998, IEEE Computer Society, 0-8186-8646-4. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
|
29 | Jumat Sulaiman, Mohamed Othman, Mohammad Khatim Hasan |
Nine Point-EDGSOR Iterative Method for the Finite Element Solution of 2D Poisson Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (1) ![In: Computational Science and Its Applications - ICCSA 2009, International Conference, Seoul, Korea, June 29-July 2, 2009, Proceedings, Part I, pp. 764-774, 2009, Springer, 978-3-642-02453-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Explicit Decoupled Group, Point Block Iteration, Galerkin Scheme, Triangle Element |
29 | Krishna M. Kavi, Wentong Li, Ali R. Hurson |
A Non-blocking Multithreaded Architecture with Support for Speculative Threads. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICA3PP ![In: Algorithms and Architectures for Parallel Processing, 8th International Conference, ICA3PP 2008, Cyprus, June 9-11, 2008, Proceedings, pp. 173-184, 2008, Springer, 978-3-540-69500-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Cache Coherency, Thread Level Speculation, Multithreaded Architectures, Decoupled Architecture |
29 | Jumat Sulaiman, Mohamed Othman, Mohammad Khatim Hasan |
Red-Black EDG SOR Iterative Method Using Triangle Element Approximation for 2D Poisson Equations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICCSA (3) ![In: Computational Science and Its Applications - ICCSA 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings. Part III, pp. 298-308, 2007, Springer, 978-3-540-74482-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
Explicit Decoupled Group, Red-Black Ordering, Galerkin Scheme, Triangle Element |
29 | Ahmad Zmily, Christos Kozyrakis |
Block-aware instruction set architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 3(3), pp. 327-357, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
basic block, software hints, branch prediction, Instruction set architecture, instruction fetch, decoupled architecture |
29 | Ahmad Zmily, Christos Kozyrakis |
Energy-efficient and high-performance instruction fetch using a block-aware ISA. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISLPED ![In: Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005, San Diego, California, USA, August 8-10, 2005, pp. 36-41, 2005, ACM, 1-59593-137-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
basic blocks, energy efficiency, instruction set architecture, decoupled architecture, instruction delivery |
29 | Zhan Wang, Shoudong Huang, Gamini Dissanayake |
Implementation Issues and Experimental Evaluation of D-SLAM. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FSR ![In: Field and Service Robotics, Results of the 5th International Conference, FSR 2005, July 29-31, 2005, Port Douglas, QLD, Australia, pp. 155-166, 2005, Springer, 978-3-540-33452-1. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
Decoupled SLAM, Extended Information Filter, Computational Complexity, Sparse Matrix |
29 | John Y. Oliver, Venkatesh Akella, Frederic T. Chong |
Efficient orchestration of sub-word parallelism in media processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SPAA ![In: SPAA 2004: Proceedings of the Sixteenth Annual ACM Symposium on Parallelism in Algorithms and Architectures, June 27-30, 2004, Barcelona, Spain, pp. 225-234, 2004, ACM, 1-58113-840-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
sub word, parallelism, media processors, decoupled control |
29 | Ayose Falcón, Oliverio J. Santana, Alex Ramírez, Mateo Valero |
Tolerating Branch Predictor Latency on SMT. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISHPC ![In: High Performance Computing, 5th International Symposium, ISHPC 2003, Tokyo-Odaiba, Japan, October 20-22, 2003, Proceedings, pp. 86-98, 2003, Springer, 3-540-20359-1. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
branch predictor delay, decoupled fetch, predictorpipelining, SMT |
29 | Gruia-Catalin Roman, Peter J. McCann, Jerome Y. Plun |
Assertional Reasoning about Pairwise Transient Interactions in Mobile Computing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICSE ![In: 18th International Conference on Software Engineering, Berlin, Germany, March 25-29, 1996, Proceedings., pp. 155-164, 1996, IEEE Computer Society, 0-8186-7246-3. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP BibTeX RDF |
UNITY proof logic, action synchronization, continuous position changes, decoupled computing style, independent computing units, location-dependent behavior, location-dependent communication patterns, pairwise transient interactions, transient program interactions, variable sharing, mobile computing, distributed computing, distributed processing, dependability, modularity, computer networks, synchronisation, network operating systems, disconnections, mobile radio, assertional reasoning |
29 | C. J. Elston, D. B. Christianson, Paul A. Findlay, Gordon B. Steven |
Hades-towards the design of an asynchronous superscalar processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASYNC ![In: Second Working Conference on Asynchronous Design Methodologies, May 30-31, 1995, London, England, UK, pp. 200-209, 1995, IEEE Computer Society, 0-8186-7098-3. The full citation details ...](Pics/full.jpeg) |
1995 |
DBLP DOI BibTeX RDF |
asynchronous superscalar processor, Hades, generic processor architecture, asynchronous processor design, decoupled operand forwarding, register writeback, computer architecture, logic design |
26 | Teck Meng Lim, Bu-Sung Lee, Chai Kiat Yeo |
Quantum-Based Earliest Deadline First Scheduling for Multiservices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Multim. ![In: IEEE Trans. Multim. 9(1), pp. 157-168, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
26 | Aiguo Song, Juan Wu, Jianqing Li 0006, Qingjun Zeng, Weiyi Huang |
A Novel Four Degree-of-Freedom Wrist Force/Torque Sensor with Low Coupled Interference. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 4423-4428, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Hemangee K. Kapoor |
Formal Modelling and Verification of an Asynchronous DLX Pipeline. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SEFM ![In: Fourth IEEE International Conference on Software Engineering and Formal Methods (SEFM 2006), 11-15 September 2006, Pune, India, pp. 118-127, 2006, IEEE Computer Society, 0-7695-2678-0. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
26 | Brian Blietz, Akhilesh Tyagi |
Software Tamper Resistance Through Dynamic Program Monitoring. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DRMTICS ![In: Digital Rights Management: Technologies, Issues, Challenges and Systems, First International Conference, DRMTICS 2005, Sydney, Australia, October 31 - November 2, 2005, Revised Selected Papers, pp. 146-163, 2005, Springer, 3-540-35998-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
26 | Jonathan C. Rowanhill, Philip E. Varner, John C. Knight |
Efficient Hierarchic Management For Reconfiguration of Networked Information Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: 2004 International Conference on Dependable Systems and Networks (DSN 2004), 28 June - 1 July 2004, Florence, Italy, Proceedings, pp. 517-526, 2004, IEEE Computer Society, 0-7695-2052-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Nicholas Krouglicof, Luisa Alonso, William D. Keat |
Development of a mechanically coupled, six degree-of-freedom load platform for biomechanics and sports medicine. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SMC (5) ![In: Proceedings of the IEEE International Conference on Systems, Man & Cybernetics: The Hague, Netherlands, 10-13 October 2004, pp. 4426-4431, 2004, IEEE, 0-7803-8566-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
26 | Ravi Bhargava, Lizy Kurian John |
Latency and energy aware value prediction for high-frequency processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICS ![In: Proceedings of the 16th international conference on Supercomputing, ICS 2002, New York City, NY, USA, June 22-26, 2002, pp. 45-56, 2002, ACM, 1-58113-483-5. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
complexity-effective design, trace cache processors, low power, data speculation |
26 | Yuexian Zou, Shing-Chow Chan, Tung-Sang Ng |
Transform domain adaptive Volterra filter algorithm based on constrained optimization. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (3) ![In: Proceedings of the 1999 International Symposium on Circuits and Systems, ISCAS 1999, Orlando, Florida, USA, May 30 - June 2, 1999, pp. 219-222, 1999, IEEE, 0-7803-5471-0. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
|
24 | Evangelos Vasilakis, Vassilis Papaefstathiou, Pedro Trancoso, Ioannis Sourdis |
Decoupled Fused Cache: Fusing a Decoupled LLC with a DRAM Cache. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Archit. Code Optim. ![In: ACM Trans. Archit. Code Optim. 15(4), pp. 65:1-65:23, 2019. The full citation details ...](Pics/full.jpeg) |
2019 |
DBLP DOI BibTeX RDF |
|
21 | Jialu Huang, Arun Raman, Thomas B. Jablin, Yun Zhang 0005, Tzu-Han Hung, David I. August |
Decoupled software pipelining creates parallelization opportunities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CGO ![In: Proceedings of the CGO 2010, The 8th International Symposium on Code Generation and Optimization, Toronto, Ontario, Canada, April 24-28, 2010, pp. 121-130, 2010, ACM, 978-1-60558-635-9. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
DSWP, enabling transformation, parallelization, multicore, speculation |
21 | Sohan Purohit, Sai Rahul Chalamalasetti, Martin Margala |
Design of self correcting radiation hardened digital circuits using decoupled ground bus. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 405-408, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
self-repairing circuits, soft errors, radiation hardening |
21 | Yasuko Watanabe, John D. Davis, David A. Wood 0001 |
WiDGET: Wisconsin decoupled grid execution tiles. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 37th International Symposium on Computer Architecture (ISCA 2010), June 19-23, 2010, Saint-Malo, France, pp. 2-13, 2010, ACM, 978-1-4503-0053-7. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
instruction steering, power proportional computing, performance, hardware, power efficiency |
21 | Hari Kannan |
Ordering decoupled metadata accesses in multiprocessors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
MICRO ![In: 42st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-42 2009), December 12-16, 2009, New York, New York, USA, pp. 381-390, 2009, ACM, 978-1-60558-798-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Roberto Giorgi, Zdravko Popovic, Nikola Puzovic |
Exploiting DMA to enable non-blocking execution in Decoupled Threaded Architecture. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 23rd IEEE International Symposium on Parallel and Distributed Processing, IPDPS 2009, Rome, Italy, May 23-29, 2009, pp. 1-8, 2009, IEEE. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Lee W. Howes, Anton Lokhmotov, Alastair F. Donaldson, Paul H. J. Kelly |
Deriving Efficient Data Movement from Decoupled Access/Execute Specifications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HiPEAC ![In: High Performance Embedded Architectures and Compilers, Fourth International Conference, HiPEAC 2009, Paphos, Cyprus, January 25-28, 2009. Proceedings, pp. 168-182, 2009, Springer, 978-3-540-92989-5. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
21 | Andrew D. Hilton, Amir Roth |
Decoupled store completion/silent deterministic replay: enabling scalable data memory for CPR/CFP processors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCA ![In: 36th International Symposium on Computer Architecture (ISCA 2009), June 20-24, 2009, Austin, TX, USA, pp. 245-254, 2009, ACM, 978-1-60558-526-0. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
checkpoint processors, load-store queues |
21 | K. C. Ho 0001, Luis M. Vicente |
Sensor Allocation for Source Localization With Decoupled Range and Bearing Estimation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Signal Process. ![In: IEEE Trans. Signal Process. 56(12), pp. 5773-5789, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Omar Tahri, François Chaumette, Youcef Mezouar |
New decoupled visual servoing scheme based on invariants from projection onto a sphere. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA, pp. 3238-3243, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Yangmin Li 0001, Qingsong Xu |
Design of a new decoupled XY flexure parallel kinematic manipulator with actuator isolation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2008 IEEE/RSJ International Conference on Intelligent Robots and Systems, September 22-26, 2008, Acropolis Convention Center, Nice, France, pp. 470-475, 2008, IEEE, 978-1-4244-2057-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Guillaume Besseron, Christophe Grand, Faïz Ben Amar, Philippe Bidaud |
Decoupled control of the high mobility robot Hylos based on a dynamic stability margin. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2008 IEEE/RSJ International Conference on Intelligent Robots and Systems, September 22-26, 2008, Acropolis Convention Center, Nice, France, pp. 2435-2440, 2008, IEEE, 978-1-4244-2057-5. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Yuanming Zhang, Kanemitsu Ootsu, Takashi Yokota, Takanobu Baba |
Clustered Decoupled Software Pipelining on Commodity CMP. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 14th International Conference on Parallel and Distributed Systems, ICPADS 2008, Melbourne, Victoria, Australia, December 8-10, 2008, pp. 681-688, 2008, IEEE Computer Society, 978-0-7695-3434-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Wolfgang Puffitsch |
Decoupled root scanning in multi-processor systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CASES ![In: Proceedings of the 2008 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, CASES 2008, Atlanta, GA, USA, October 19-24, 2008, pp. 91-98, 2008, ACM. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
real-time, garbage collection, multi-processor |
21 | Navid Reza Abjadi, Javad Askari, Jafar Soltani |
Nonlinear decoupled control for multi-motors web winding system using the sliding-mode technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICNSC ![In: Proceedings of the IEEE International Conference on Networking, Sensing and Control, ICNSC 2008, Hainan, China, 6-8 April 2008, pp. 212-216, 2008, IEEE, 978-1-4244-1685-1. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
21 | Alysson Neves Bessani, Miguel Correia 0001, Joni da Silva Fraga, Lau Cheuk Lung |
Decoupled Quorum-Based Byzantine-Resilient Coordination in Open Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NCA ![In: Sixth IEEE International Symposium on Network Computing and Applications (NCA 2007), 12 - 14 July 2007, Cambridge, MA, USA, pp. 231-238, 2007, IEEE Computer Society, 0-7695-2922-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Hicham Hadj-Abdelkader, Youcef Mezouar, Philippe Martinet |
Decoupled Visual Servoing from a set of points imaged by an omnidirectional camera. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICRA ![In: 2007 IEEE International Conference on Robotics and Automation, ICRA 2007, 10-14 April 2007, Roma, Italy, pp. 1697-1702, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Roberto Giorgi, Zdravko Popovic, Nikola Puzovic |
DTA-C: A Decoupled multi-Threaded Architecture for CMP Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBAC-PAD ![In: 19th Symposium on Computer Architecture and High Performance Computing (SBAC-PAD 2007), 24-27 October 2007, Gramado, RS, Brazil, pp. 263-270, 2007, IEEE Computer Society, 0-7695-3014-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
21 | Maria-Cecilia Rivara, Carlo Calderon, Andriy Fedorov, Nikos Chrisochoides |
Parallel decoupled terminal-edge bisection method for 3D mesh generation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Eng. Comput. ![In: Eng. Comput. 22(2), pp. 111-119, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
Parallel mesh generation, 3-dimensional (3D), Longest-edge, Terminal-edge, Lepp |
21 | Chi-Hoon Lee, Russell Greiner, Osmar R. Zaïane |
Efficient Spatial Classification Using Decoupled Conditional Random Fields. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PKDD ![In: Knowledge Discovery in Databases: PKDD 2006, 10th European Conference on Principles and Practice of Knowledge Discovery in Databases, Berlin, Germany, September 18-22, 2006, Proceedings, pp. 272-283, 2006, Springer, 3-540-45374-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Hicham Hadj-Abdelkader, Youcef Mezouar, Nicolas Andreff, Philippe Martinet |
Decoupled Homography-based Visual Servoing with Omnidirectional Cameras. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IROS ![In: 2006 IEEE/RSJ International Conference on Intelligent Robots and Systems, IROS 2006, October 9-15, 2006, Beijing, China, pp. 2332-2337, 2006, IEEE, 1-4244-0258-1. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Miquel Pericàs, Adrián Cristal, Rubén González 0001, Daniel A. Jiménez, Mateo Valero |
A decoupled KILO-instruction processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HPCA ![In: 12th International Symposium on High-Performance Computer Architecture, HPCA-12 2006, Austin, Texas, USA, February 11-15, 2006, pp. 53-64, 2006, IEEE Computer Society, 0-7803-9368-6. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Alysson Neves Bessani, Miguel Correia 0001, Joni da Silva Fraga, Lau Cheuk Lung |
Brief Announcement: Decoupled Quorum-Based Byzantine-Resilient Coordination in Open Distributed Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DISC ![In: Distributed Computing, 20th International Symposium, DISC 2006, Stockholm, Sweden, September 18-20, 2006, Proceedings, pp. 554-556, 2006, Springer, 3-540-44624-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Sriram Krishnamoorthy, Ümit V. Çatalyürek, Jarek Nieplocha, Atanas Rountev, P. Sadayappan |
An extensible global address space framework with decoupled task and data abstractions. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IPDPS ![In: 20th International Parallel and Distributed Processing Symposium (IPDPS 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece, 2006, IEEE. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Rong-Jong Wai, Jeng-Dao Lee, Chia-Chin Chu |
Intelligent Decoupled Control for Linear Induction Motor Drive. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IJCNN ![In: Proceedings of the International Joint Conference on Neural Networks, IJCNN 2006, part of the IEEE World Congress on Computational Intelligence, WCCI 2006, Vancouver, BC, Canada, 16-21 July 2006, pp. 1451-1457, 2006, IEEE, 0-7803-9490-9. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
21 | Yu-Tao Hsieh, Wen-Rong Wu |
Optimal two-stage decoupled partial PIC receivers for multiuser detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Wirel. Commun. ![In: IEEE Trans. Wirel. Commun. 4(1), pp. 112-127, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Liang Chen 0012, Naoyuki Tokuda, Akira Nagai |
Two-level decoupled Hamming network for associative memory under noisy environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISCAS (4) ![In: International Symposium on Circuits and Systems (ISCAS 2005), 23-26 May 2005, Kobe, Japan, pp. 3635-3638, 2005, IEEE, 0-7803-8834-8. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
21 | Ram Rangan, Neil Vachharajani, Manish Vachharajani, David I. August |
Decoupled Software Pipelining with the Synchronization Array. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE PACT ![In: 13th International Conference on Parallel Architectures and Compilation Techniques (PACT 2004), 29 September - 3 October 2004, Antibes Juan-les-Pins, France, pp. 177-188, 2004, IEEE Computer Society, 0-7695-2229-7. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 2709 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|