The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FSMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1991 (24) 1992-1993 (15) 1994-1995 (22) 1996-1997 (32) 1998 (18) 1999 (16) 2000 (16) 2001-2002 (25) 2003 (20) 2004 (22) 2005 (22) 2006 (20) 2007 (22) 2008 (16) 2009-2010 (17) 2011-2015 (15) 2016-2018 (19) 2019-2020 (16) 2021-2022 (15) 2023-2024 (7)
Publication types (Num. hits)
article(99) incollection(1) inproceedings(279)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 376 occurrences of 244 keywords

Results
Found 379 publication records. Showing 379 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
78Carlos Humberto Llanos Quintero, Marius Strum SINMEF - A Decomposition Based Synthesis Tool for Large FSMs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF non-deterministic transitions, redundant transitions, decomposition, FSM, clustering technique
69Ajay J. Daga, William P. Birmingham A symbolic-simulation approach to the timing verification of interacting FSMs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF symbolic-simulation approach, interacting FSMs, timing verifier, complex sequential circuit verification, combinational paths, inherently modular nature, symbolic simulation verification methodology, formal verification, logic testing, finite state machines, finite state machines, sequential circuits, circuit analysis computing, timing verification
68Tomoo Inoue, Toshimitsu Masuzawa, Hiroshi Youra, Hideo Fujiwara An Approach To The Synthesis Of Synchronizable Finite State Machines With Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synchronizable finite state machines, sequential circuits synthesis, extended synchronizing sequence, scan inputs, normal inputs, MCNC'91 benchmark FSM, minimum-length extended synchronizing sequence, test generation, finite state machines, DFT, heuristic algorithm, minimization, partial scan, synthesis for testability, state assignment, state transition, state encoding
68Wenbo Mao, George J. Milne An Automated Proof Technique for Finite-State Machine Equivalence. Search on Bibsonomy CAV The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
66Gustavo Sutter, Elias Todorovich, Sergio López-Buedo, Eduardo I. Boemo Low-Power FSMs in FPGA: Encoding Alternatives. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF One-Hot, State Encod-ing, FPGA, Low-Power, Finite State Machine
60Natalia Shabaldina, Khaled El-Fakih, Nina Yevtushenko 0001 Testing Nondeterministic Finite State Machines with Respect to the Separability Relation. Search on Bibsonomy TestCom/FATES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF separability relation, testing nondeterministic FSMs
60Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
58Jun Sun 0001, Jin Song Dong Extracting FSMs from Object-Z Specifications with History Invariants. Search on Bibsonomy ICECCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Software Specification, FSMs, Object-Z
55Rainer Findenig, Florian Eibensteiner, Markus Pfaff Optimizing the Hardware Usage of Parallel FSMs. Search on Bibsonomy EUROCAST The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Scheduling, Resource Sharing, FSM, Serialization
55Anneliese Amschler Andrews, Jeff Offutt, Roger T. Alexander Testing Web applications by modeling with FSMs. Search on Bibsonomy Softw. Syst. Model. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Testing of Web applications, Finite state machines, System testing
55Luis Mengibar, Luis Entrena, Michael G. Lorenz, Raul Sánchez-Reillo State Encoding for Low-Power FSMs in FPGA. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Robert M. Fuhrer, Steven M. Nowick OPTIMISTA: state minimization of asynchronous FSMs for optimum output logic. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Jessica Chen A study on static analysis in network of synchronizing FSMs. Search on Bibsonomy APSEC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF synchronizing FSMs, multithreaded systems, nondeterministic behavior, Java monitors, Java, static analysis, finite state machines, synchronisation, operational semantics, multi-threading, labeled transition systems, thread synchronization, design artifacts
45Jie-Hong Roland Jiang, Robert K. Brayton Retiming and Resynthesis: A Complexity Perspective. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
45Lin Yuan, Gang Qu 0001, Tiziano Villa, Alberto L. Sangiovanni-Vincentelli FSM re-engineering and its application in low power state encoding. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Jie-Hong Roland Jiang On Some Transformation Invariants Under Retiming and Resynthesis. Search on Bibsonomy TACAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Isaac Rudomín, Erik Millán Probabilistic, layered and hierarchical animated agents using XML. Search on Bibsonomy GRAPHITE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF XML, maps, virtual characters, crowds
45Uttam K. Sarkar, Subramanian Ramakrishnan, Dilip Sarkar Modeling full-length video using Markov-modulated Gamma-based framework. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF QQ plot, frame-size traffic model, leaky-bucket simulation, variable bit rate (VBR) video, MPEG, gamma distribution
45Hideo Fujiwara A New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
45Hideo Fujiwara A New Definition and a New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
45Gagan Hasteer, Anmol Mathur, Prithviraj Banerjee Efficient equivalence checking of multi-phase designs using phase abstraction and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF binary decision, encoding density, multi-phase FSM, product machine, sequential hardware equivalence, diagram, steady states
45Hyoung Seok Hong, Yong Rae Kwon, Sung Deok Cha Testing of Object-Oriented Programs Based on Finite State Machines. Search on Bibsonomy APSEC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF software testing, object-oriented programs, finite state machines, classes
44Roman Goot, Ilya Levin, Sergei Ostanin Fault Latencies of Concurrent Checking FSMs. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Petros Drineas, Yiorgos Makris Non-Intrusive Design of Concurrently Self-Testable FSMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Valery Sklyarov An Evolutionary Algorithm for the Synthesis of RAM-Based FSMs. Search on Bibsonomy IEA/AIE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Loe M. G. Feijs Generating FSMs from Interworkings. Search on Bibsonomy Distributed Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Sequence chart, Synthesis, Finite state machine, Process algebra
44Diana Marculescu, Radu Marculescu, Massoud Pedram Trace-Driven Steady-State Probability Estimation in FSMs with Application to Power Estimation. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Probabilistic FSM analysis, high-order Markov chains, power estimation
42Jumei Yue, Yongyi Yan Update Law of Simplifying Finite State Machines (FSMs): An Answer to the Open Question of the Unmanned Optimization of FSMs. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
42Peter Bodorik, Christian G. Liu, Dawn N. Jutla Using FSMs to Find Patterns for Off-Chain Computing: Finding Patterns for Off-Chain Computing with FSMs. Search on Bibsonomy ICBCT The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
37Qiang Guo 0001, Robert M. Hierons, Mark Harman, Karnig Derderian Computing Unique Input/Output Sequences Using Genetic Algorithms. Search on Bibsonomy FATES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF UIOs, Genetic Algorithms, Optimisation, Conformance Testing, FSMs
37Franco Fummi, U. Rovati, Donatella Sciuto Functional design for testability of control-dominated architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interacting FSMs, functional testing
37Manish Pandey, Alok Jain, Randal E. Bryant, Derek L. Beatty, Gary York, Samir Jain Extraction of finite state machines from transistor netlists by symbolic simulation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF finite state machine extraction, transistor netlists, clock level finite state machines, gate level representation, circuit clocking, output timing, simulation patterns, next state, output function, equivalent FSM, static storage structures, time multiplexed inputs, time multiplexed outputs, finite state machines, logic design, logic CAD, circuit analysis computing, FSMs, symbolic simulation, symbolic simulator, Ordered Binary Decision Diagrams
37Mandyam-Komar Srinivas, James Jacob, Vishwani D. Agrawal Functional test generation for non-scan sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, functional test vectors, growth and disappearance fault model, complete stuck fault coverage, algebraic transformations, synthesized FSMs, VLSI, fault diagnosis, logic testing, finite state machines, integrated circuit testing, sequential circuits, automatic testing, functional test generation
34Maxim Gromov, Khaled El-Fakih, Natalia Shabaldina, Nina Yevtushenko 0001 Distinguing Non-deterministic Timed Finite State Machines. Search on Bibsonomy FMOODS/FORTE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Adenilso da Silva Simão, Alexandre Petrenko Generating Checking Sequences for Partial Reduced Finite State Machines. Search on Bibsonomy TestCom/FATES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Ghaith Hammouri, Kahraman D. Akdemir, Berk Sunar Novel PUF-Based Error Detection Methods in Finite State Machines. Search on Bibsonomy ICISC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adversarial-faults, state-machines, PUF, Fault-resilience
34Simon M. Lucas, T. Jeff Reynolds Learning Finite-State Transducers: Evolution Versus Heuristic State Merging. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Florentin Ipate Bounded Sequence Testing from Non-deterministic Finite State Machines. Search on Bibsonomy TestCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Anurag Tiwari, Karen A. Tomko Saving Power by Mapping Finite-State Machines into Embedded Memory Blocks in FPGAs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34David A. Bader, Kamesh Madduri A Parallel State Assignment Algorithm for Finite State Machines. Search on Bibsonomy HiPC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Ricardo Nastas Acras, Silvia Regina Vergilio Splinter: A Generic Framework for Evolving Modular Finite State Machines. Search on Bibsonomy SBIA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF modularity, evolutionary programming
34Marcelo Fantinato, Mário Jino Applying Extended Finite State Machines in Software Testing of Interactive Systems. Search on Bibsonomy DSV-IS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Finite State Machines, Model Based Testing, Functional Testing, Testing Criteria
34Sezer Gören 0001, F. Joel Ferguson Testing Finite State Machines Based on a Structural Coverage Metric . Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Umberto Cerruti, Mario Giacobini, Pierre Liardet Prediction of Binary Sequences by Evolving Finite State Machines. Search on Bibsonomy Artificial Evolution The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Richard Raimi, Ramin Hojati, Kedar S. Namjoshi Environment modeling and language universality. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF language universality, model checking, abstraction, environment modeling
34M. Tuan Tu, Eberhard Wolff, Winfried Lamersdorf Genetic Algorithms for Automated Negotiations: A FSM-Based Application. Search on Bibsonomy DEXA Workshops The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FSM based application approach, electronic commerce applications, GA principles, negotiating agents, genetic algorithms, electronic commerce, finite state machines, automated negotiations, negotiation strategy
34In Sang Chung, Malcolm Munro, Wan Kwon Lee, Yong Rae Kwon Applying Conventional Testing Techniques for Class Testing. Search on Bibsonomy COMPSAC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF program testing techniques, class member function testing, code-based testing, formal specification, object-oriented programming, object oriented programming, finite state machines, finite state machines, program testing, symbolic execution, programming theory, specification-based testing, class testing, branch coverage
32Ivan Radojevic, Zoran A. Salcic, Partha S. Roop McCharts and Multiclock FSMs for modeling large scale systems. Search on Bibsonomy MEMOCODE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Danilo Ravotto, Edgar E. Sánchez, Massimiliano Schillaci, Matteo Sonza Reorda, Giovanni Squillero On Automatic Test Block Generation for Peripheral Testing in SoCs via Dynamic FSMs Extraction. Search on Bibsonomy MTV The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Andrzej Krasniewski Concurrent Error Detection for FSMs Designed for Implementation with Embedded Memory Blocks of FPGAs. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Jaan Raik, Raimund Ubar, Taavi Viilukas High-Level Decision Diagram based Fault Models for Targeting FSMs. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Sobeeh Almukhaizim, Petros Drineas, Yiorgos Makris On Concurrent Error Detection with Bounded Latency in FSMs. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Amit M. Paradkar Plannable Test Selection Criteria for FSMs Extracted From Operational Specifications. Search on Bibsonomy ISSRE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Model-Based Test Generation, EFSM-based Test Selection, Mutation-based Test Selection
32Petros Drineas, Yiorgos Makris Non-Intrusive Concurrent Error Detection in FSMs through State/Output Compaction and Monitoring via Parity Trees. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Konstantinos Rokas, Yiorgos Makris, Dimitris Gizopoulos Low Cost Convolutional Code Based Concurrent Error Detection in FSMs. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Petros Drineas, Yiorgos Makris SPaRe: Selective Partial Replication for Concurrent Fault Detection in FSMs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Luca Macchiarulo, Shih-Ming Shu, Malgorzata Marek-Sadowska Wave Steered FSMs. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Luca Macchiarulo, Malgorzata Marek-Sadowska Wave-steering one-hot encoded FSMs. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
32Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Circular Self-Test Path for FSMs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32Timothy Kam, Tiziano Villa, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Implicit state minimization of non-deterministic FSMs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF implicit state minimization, nondeterministic finite state machines, fully implicit algorithm, finite state machines, logic design, minimisation
32Dan R. Olsen A Subset Algorithm for Deterministic FSMs within Deterministic PDAs. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 1983 DBLP  DOI  BibTeX  RDF
23Mario García-Valderas, Raúl Fernández Cardenal, Celia López-Ongil, Marta Portela-García, Luis Entrena SET Emulation Under a Quantized Delay Model. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Sezer Gören 0001, F. Joel Ferguson Test sequence generation for controller verification and test with high coverage. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF finite state machine, Fault coverage, black box testing, X-machine
23Vít Fábera, Vlastimil Jánes, Mária Jánesová Automata Construct with Genetic Algorithm. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Valdivino Alexandre de Santiago Jr., Ana Silvia Martins do Amaral, Nandamudi L. Vijaykumar, Maria de Fátima Mattiello-Francisco, Eliane Martins, Odnei Cuesta Lopes A Practical Approach for Automated Test Case Generation using Statecharts. Search on Bibsonomy COMPSAC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Joumana Dargham, Sukaina Al Nasrawi FSM Behavioral Modeling Approach for Hypermedia Web Applications: FBM-HWA Approach. Search on Bibsonomy AICT/ICIW The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Grigore Rosu, Klaus Havelund Rewriting-Based Techniques for Runtime Verification. Search on Bibsonomy Autom. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF verification, rewriting, runtime analysis
23Alexandre Petrenko, Nina Yevtushenko 0001 Testing from Partial Deterministic FSM Specifications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF partially specified FSM, weak conformance testing, test generation, Finite State Machine, fault detection, checking experiment, state identification
23Islam Elgedawy, Zahir Tari, James A. Thom A High-Level Functional Matching for Semantic Web Services. Search on Bibsonomy ICSOC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Alan Mishchenko, Robert K. Brayton, Jie-Hong Roland Jiang, Tiziano Villa, Nina Yevtushenko 0001 Efficient Solution of Language Equations Using Partitioned Representations. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Steffen Toscher, Roland Kasper, Thomas Reinemann Dynamic Reconfiguration of Mechatronic Real-Time Systems Based on Configuration State Machines. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Alexandre Petrenko, Nina Yevtushenko 0001 Conformance Tests as Checking Experiments for Partial Nondeterministic FSM. Search on Bibsonomy FATES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Lech Józwiak, Dominik Gawlowski, Aleksander Slusarczyk An Effective Solution of Benchmarking Problem FSM Benchmark Generator and Its Application to Analysis of State Assignment Methods. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Maik Boden, Manfred Koegst, José Luis Tiburcio Badía, Steffen Rülke Cost-Efficient Implementation of Adaptive Finite State Machines. Search on Bibsonomy DSD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Karnig Derderian, Robert M. Hierons, Mark Harman, Qiang Guo 0001 Input Sequence Generation for Testing of Communicating Finite State Machines (CFSMs). Search on Bibsonomy GECCO (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Yun Zhai, Zeeshan Rasheed, Mubarak Shah A Framework for Semantic Classification of Scenes Using Finite State Machines. Search on Bibsonomy CIVR The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Khaled El-Fakih, Nina Yevtushenko 0001 Fault Propagation by Equation Solving. Search on Bibsonomy FORTE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Yanlei Diao, Mehmet Altinel, Michael J. Franklin, Hao Zhang 0003, Peter M. Fischer 0001 Path sharing and predicate evaluation for high-performance XML filtering. Search on Bibsonomy ACM Trans. Database Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Nondeterministic Finite Automaton, XML filtering, content-based matching, nested path expressions., path sharing, predicate evaluation, structure matching
23Wolfgang Grieskamp, Lev Nachmanson, Nikolai Tillmann, Margus Veanes Test Case Generation from AsmL Specifications. Search on Bibsonomy Abstract State Machines The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Giuliano Antoniol, Lionel C. Briand, Massimiliano Di Penta, Yvan Labiche A Case Study Using the Round-Trip Strategy for State-Based Class Testing. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Atsushi Fukada, Akio Nakata, Junji Kitamichi, Teruo Higashino, Ana R. Cavalli A Conformance Testing Method for Communication Protocols Modeled as Concurrent DFSMs. Search on Bibsonomy ICOIN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Luca Benini, Giovanni De Micheli Synthesis of low-power selectively-clocked systems from high-level specification. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power, high-level synthesis, gated clock
23Valery Sklyarov Synthesis and Implementation of RAM-Based Finite State Machines in FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Eberhard Wolff, M. Tuan Tu, Winfried Lamersdorf Using Genetic Algorithms to Enable Automated Auctions. Search on Bibsonomy EC-Web The full citation details ... 2000 DBLP  DOI  BibTeX  RDF genetic algorithms, E-Commerce, auctions, negotiation strategies
23Andreas Hett, Christoph Scholl 0001, Bernd Becker 0001 Distance driven finite state machine traversal. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Matthias Anlauff, Philipp W. Kutter, Alfonso Pierantonio Enhanced Control Flow Graphs in Montages. Search on Bibsonomy Ershov Memorial Conference The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Wai-Kwong Lee, Chi-Ying Tsui Finite state machine partitioning for low power. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23B. N. V. Malleswara Gupta, H. Narayanan, Madhav P. Desai A State Assignment Scheme Targeting Performance and Area. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23S. Ramesh Efficient Translation of Statecharts to Hardware Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23Jeffrey X. Su, David L. Dill, Jens U. Skakkebæk Formally Verifying Data and Control with Weak Reachability Invariants. Search on Bibsonomy FMCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Sumit Roy 0003, Prithviraj Banerjee, Majid Sarrafzadeh Partitioning sequential circuits for low power. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low-power, gated-clock, sequential synthesis
23Dechang Sun, Bapiraju Vinnakota, Wanli Jiang Fast State Verification. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF model checking, verification, guided search
23Frank F. Hsu, Janak H. Patel Design for Testability Using State Distances. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF state distance, finite-state-machine, design-for-testability, synthesis-for-testability
23Luca Benini, Patrick Vuillod, Claudionor José Nunes Coelho Jr., Giovanni De Micheli Synthesis of Low-Power Selectively-Clocked Systems from High-Level Specification. Search on Bibsonomy ISSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF low power, High level synthesis, finite state machines, gated clocks
23Lakshmikant Bhupathi, Liang-Fang Chao Dichotomy-based Model for FSM Power Minimization. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Frank F. Hsu, Janak H. Patel A distance reduction approach to design for testability. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF distance reduction approach, center state, test function embedding technique, SFT techniques, logic testing, finite state machines, finite state machines, design for testability, design for testability, sequential circuits, sequential circuits, flip-flops, flip-flops, synthesis for testability, test function, average distance, DFT techniques
23Adnan Aziz, Thomas R. Shiple, Vigyan Singhal Formula-Dependent Equivalence for Compositional CTL Model Checking. Search on Bibsonomy CAV The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Alan Rotman, Ran Ginosar Control unit synthesis from a high-level language. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Doron Drusinsky-Yoresh Decision problems for interacting finite state machines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 379 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license