The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ISQED with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000 (79) 2001 (96) 2002 (106) 2003 (83) 2004 (93) 2005 (126) 2006 (141) 2007 (158) 2008 (172) 2009 (142) 2010 (135) 2011 (126) 2012 (114) 2013 (113) 2014 (108) 2015 (111) 2016 (81) 2017 (78) 2018 (74) 2019 (56) 2020 (78) 2021 (106) 2022 (92) 2023 (108)
Publication types (Num. hits)
article(3) inproceedings(2549) proceedings(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 577 occurrences of 388 keywords

Results
Found 2576 publication records. Showing 2576 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
70Michael Keating IP Quality: A Design, Not a Verification Problem. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
70Terry Blanchard Assessment of the OpenAccess Standard: Insights on the new EDA Industry Standard from Hewlett-Packard, a Beta Partner and Contributing Developer. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
69Mark M. Budnik, Rasit Onur Topaloglu, Pallab Chatterjee, Keith A. Bowman, Kamesh V. Gadepally, Paul Wesling, Syed M. Alam, Rajiv V. Joshi Welcome to ISQED 2013. Search on Bibsonomy ISQED The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
69Kamesh V. Gadepally, Lalitha Immaneni, Pallab Chatterjee, George Alexiou, Ali Iranmanesh Welcome to ISQED 2010. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
69Leon O. Chua ISQED quality award recipient (IQ-Award 2010). Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
69Daniela De Venuto ISQED 2010 fellow award recipient. Search on Bibsonomy ISQED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
40 24th International Symposium on Quality Electronic Design, ISQED 2023, San Francisco, CA, USA, April 5-7, 2023 Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Richard C. Yarnell, Mousam Hossain, Ronald F. DeMara Image Quantization Tradeoffs in a YOLO-based FPGA Accelerator Framework. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zhiyao Xie, Tao Zhang, Yifeng Peng Security and Reliability Challenges in Machine Learning for EDA: Latest Advances. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Rakibul Hassan, Charan Bandi, Meng-Tien Tsai, Shahriar Golchin, Sai Manoj P. D., Setareh Rafatirad, Soheil Salehi Automated Supervised Topic Modeling Framework for Hardware Weaknesses. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Vishesh Mishra, Sparsh Mittal, Rekha Singhal, Manoj Nambiar 0001 Novel, Configurable Approximate Floating-point Multipliers for Error-Resilient Applications. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Yueqin Dai, Yifeng Song, Jing Tian 0004, Zhongfeng Wang 0001 High-Throughput Hardware Implementation for Haraka in SPHINCS+. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Karl Ott, Rabi N. Mahapatra Hardware Performance Counter Enhanced Watchdog for Embedded Software Security. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Felipe Almeida, Levent Aksoy, Quang-Linh Nguyen, Sophie Dupuis, Marie-Lise Flottes, Samuel Pagliarini Resynthesis-based Attacks Against Logic Locking. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Sairam Sri Vatsavai, Ishan G. Thakkar A Bit-Parallel Deterministic Stochastic Multiplier. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zhenlin Pei, Mahta Mayahinia, Hsiao-Hsuan Liu, Mehdi B. Tahoori, Shairfe Muhammad Salahuddin, Francky Catthoor, Zsolt Tokei, Chenyun Pan Emerging Interconnect Exploration for SRAM Application Using Nonconventional H-Tree and Center-Pin Access. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Sathwika Bavikadi, Purab Ranjan Sutradhar, Amlan Ganguly, Sai Manoj Pudukotai Dinakarrao Heterogeneous Multi-Functional Look-Up-Table-based Processing-in-Memory Architecture for Deep Learning Acceleration. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Madhava Sarma Vemuri, Umamaheswara Rao Tida Metal Inter-layer Via Keep-out-zone in M3D IC: A Critical Process-aware Design Consideration. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Hsin-Ping Yen, Shiuan-Hau Huang, Yan-Hsiu Liu, Kuang-Hsien Tseng, Ji-Fu Kung, Yi-Ting Li, Yung-Chih Chen, Chun-Yao Wang A Flexible Cluster Tool Simulation Framework with Wafer Batch Dispatching Time Recommendation. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Yuan Wang, Jian Xin, Haixu Liu, Qian Qin, Chenkai Chai, Yukai Lu, Jinglei Hao, Jianhao Xiao, Zuochang Ye, Yan Wang 0023 DC-Model: A New Method for Assisting the Analog Circuit Optimization. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ece Nur Demirhan Coskun, Muhammad Hassan 0002, Mehran Goli, Rolf Drechsler VAST: Validation of VP-based Heterogeneous Systems against Availability Security Properties using Static Information Flow Tracking. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Xiangyun Wang, Yicheng Song, Katyayani Prakash, Zeljko Zilic, Tomas Langsetmo Quality-driven Design Methodology for PUFs in FPGAs for Secure IoT. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Bijan Shahriari, Farid N. Najm Fast Electromigration Simulation for Chip Power Grids. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ruben Dominguez, Wen Zhang, Hongzhi Xu, Pablo Rangel, Chen Pan ISSAC: An Self-organizing and Self-healing MAC Design for Intermittent Communication Systems. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Dake Chen, Xuan Zhou, Yinghua Hu, Yuke Zhang, Kaixin Yang, Andrew Rittenbach, Pierluigi Nuzzo 0002, Peter A. Beerel Unraveling Latch Locking Using Machine Learning, Boolean Analysis, and ILP. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ananya Mantravadi, Dhruv Makwana, R. Sai Chandra Teja, Sparsh Mittal, Rekha Singhal Dilated Involutional Pyramid Network (DInPNet): A Novel Model for Printed Circuit Board (PCB) Components Classification. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Man Shi, Steven Colleman, Charlotte VanDeMieroop, Antony Joseph, Maurice Meijer, Wim Dehaene, Marian Verhelst CMDS: Cross-layer Dataflow Optimization for DNN Accelerators Exploiting Multi-bank Memories. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Chia-Heng Yen, Jung-Che Tsai, Kai-Chiang Wu Using Path Features for Hardware Trojan Detection Based on Machine Learning Techniques. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Prashanth H. C., Sriniketh S. S, Shrikrishna Hebbar, Chinmaye R, Madhav Rao SQRTLIB : Library of Hardware Square Root Designs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40MinSeok Han, Jiwan Kim, Donggeon Kim, Hyunuk Jeong, Gilho Jung, Myeongwon Oh, Hyundong Lee, Yunjeong Go, HyunWoo Kim, Jongbeom Kim, Taigon Song HFGCN: High-speed and Fully-optimized GCN Accelerator. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Noah Zins, Hongyu An Reproducing Fear Conditioning of Rats with Unmanned Ground Vehicles and Neuromorphic Systems. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Supreeth Mysore Shivanandamurthy, Sairam Sri Vatsavai, Ishan G. Thakkar, Sayed Ahmad Salehi AGNI: In-Situ, Iso-Latency Stochastic-to-Binary Number Conversion for In-DRAM Deep Learning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Shalabh Jain, Pradeep Pappachan, Jorge Guajardo, Sven Trieflinger, Indrasen Raghupatruni, Thomas Huber CMP-SiL: Confidential Multi Party Software-in-the-Loop Simulation Frameworks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ram Venkat Narayanan, Aparajithan Nathamuni Venkatesan, Kishore Pula, Sundarakumar Muthukumaran, Ranga Vemuri Reverse Engineering Word-Level Models from Look-Up Table Netlists. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Jun Yin, Mircea R. Stan A Low Power SRAM with Fully Dynamic Leakage Suppression for IoT Nodes. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Lennart Weingarten, Alireza Mahzoon, Mehran Goli, Rolf Drechsler Polynomial Formal Verification of a Processor: A RISC-V Case Study. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Md. Mazharul Islam 0006, Md. Shafayat Hossain, Ahmedullah Aziz A SPICE-based Framework to Emulate Quantum Circuits with classical LC Resonators. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Lakshmi Sathidevi, Abhinav Sharma, Nan Wu, Xun Jiao, Cong Hao PreAxC: Error Distribution Prediction for Approximate Computing Quality Control using Graph Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Deepraj Soni, Mohammed Nabeel 0001, Homer Gamil, Oleg Mazonka, Brandon Reagen, Ramesh Karri, Michail Maniatakos Design Space Exploration of Modular Multipliers for ASIC FHE accelerators. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ya-sine Agrignan, Shanglin Zhou, Jun Bai, Sahidul Islam, Sheida Nabavi, Mimi Xie, Caiwen Ding A Deep Learning Approach for Ventricular Arrhythmias Classification using Microcontroller. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Bikrant Das Sharma, Abdul Rahman Ismail, Chris Meyers Power Savings in USB Hubs Through A Proactive Scheduling Strategy. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Mehrdad Morsali, Ranyang Zhou, Sepehr Tabrizchi, Arman Roohi, Shaahin Angizi XOR-CiM: An Efficient Computing-in-SOT-MRAM Design for Binary Neural Network Acceleration. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40P. R. Chithira Accurate Estimation of Circuit Delay Variance with Limited Monte Carlo Simulations Using Bayesian Inference. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Jordan Maynard, Amin Rezaei 0001 DK Lock: Dual Key Logic Locking Against Oracle-Guided Attacks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Nastaran Darabi, Maeesha Binte Hashem, Supriyo Bandyopadhyay, Amit Ranjan Trivedi Exploiting Programmable Dipole Interaction in Straintronic Nanomagnet Chains for Ising Problems. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Prokash Ghosh, V. N. Dwaraka Mai, Aditya Chopra, Baljinder Sood Self-Checking Performance Verification Methodology for Complex SoCs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Partha Sarathi Paul 0002, Maisha Sadia, Anurag Dhungel, Parker Hardy, Md Sakib Hasan Split-Slope Chaotic Map Providing High Entropy Across Wide Range. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Kwondo Ma, Chandramouli N. Amarnath, Abhijit Chatterjee, Jacob A. Abraham Secure Control Loop Execution of Cyber-Physical Devices Using Predictive State Space Checks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Qazi Arbab Ahmed, Muhammad Awais 0009, Marco Platzner MAAS: Hiding Trojans in Approximate Circuits. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Tasnuva Farheen, Shahin Tajik, Domenic Forte SPRED: Spatially Distributed Laser Fault Injection Resilient Design. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Joseph Lindsay, Ramtin Zand A Novel Stochastic LSTM Model Inspired by Quantum Machine Learning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Shih-Jung Pao, Chuan-Pin Huang, Yen-Chi Peng, Ing-Jer Huang Focusing on the Key Suspicious Trojan Nets with a Collaborative Approach. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Chieh-Yu Cheng, Ting-Chi Wang Routability-aware Placement Guidance Generation for Mixed-size Designs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Joseph Clemmons, Yu-Fang Jin Reinforcement Learning-Based Guidance of Autonomous Vehicles. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Yash Khare, Kumud Lakara, Sparsh Mittal, Arvind Kaushik, Rekha Singhal SpotOn: A Gradient-based Targeted Data Poisoning Attack on Deep Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Andrew B. Kahng, Shreyas Thumathy, Mingyu Woo An Effective Cost-Skew Tradeoff Heuristic for VLSI Global Routing. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zhenyi Gao, Sheqin Dong, Zhicong Tang, Wenjian Yu MC-MCF: A Multi-Capacity Model for Ordered Escape Routing. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Xinyuan Qiao, Suwen Song, Jing Tian 0004, Zhongfeng Wang 0001 Efficient Decryption Architecture for Classic McEliece. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Pengzhou He, Jiafeng Xie Novel Implementation of High-Performance Polynomial Multiplication for Unified KEM Saber based on TMVP Design Strategy. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Vandana Kumari, Maya Chandrakar, Manoj Kumar Majumder Performance Analysis of Cylindrical Through Silicon Via with Interfacial Crack. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zigeng Wang, Bingbing Li, Xia Xiao, Tianyun Zhang, Mikhail A. Bragin, Bing Yan, Caiwen Ding, Sanguthevar Rajasekaran Automatic Subnetwork Search Through Dynamic Differentiable Neuron Pruning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ashvinikumar Dongre, Gaurav Trivedi Binary Synaptic Array for Inference and Training with Built-in RRAM Electroforming Circuit. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Kangjun Bai, Daniel Titcombe, Jack Lombardi, Clare Thiem, Nathaniel C. Cady Moving Towards Game-Changing Technology: Fabrication and Application of HfO2 RRAM for In-Memory Computing. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Harshita Gupta, Mayank Kabra, Nitin D. Patwari, Prashanth H. C., Madhav Rao Design and Evaluation of multipliers for hardware accelerated on-chip EdDSA. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Mayank Kabra, Prashanth H. C., Kedar Deshpande, Madhav Rao HIE-DRAM: High Performance Efficient In-DRAM Computing Architecture for SIMD. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Sairam Sri Vatsavai, Venkata Sai Praneeth Karempudi, Ishan G. Thakkar An Optical XNOR-Bitcount Based Accelerator for Efficient Inference of Binary Neural Networks. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Juneet Kumar Meka, Ranga Vemuri Attributed Graph Transformation for Generating Synthetic Benchmarks for Hardware Security. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Arun Govindankutty, Shamiul Alam, Sanjay Das, Ahmedullah Aziz, Sumitha George Cryogenic In-memory Binary Multiplier Using Quantum Anomalous Hall Effect Memories. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Wei Zhou, Aijiao Cui, Cassi Chen, Gang Qu 0001 A Low-overhead PUF-based Secure Scan Design. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Tinaqi Zhang, Sahand Salamat, Behnam Khaleghi, Justin Morris, Baris Aksanli, Tajana Simunic Rosing HD2FPGA: Automated Framework for Accelerating Hyperdimensional Computing on FPGAs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40N. S. Aswathy, Hemangee K. Kapoor AGRAS: Aging and memory request rate aware scheduler for PCM memories. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Po-Yang Chen, Chang-Yun Liu, Hung-Ming Chen, Po-Tsang Huang On-Interposer Decoupling Capacitors Placement for Interposer-based 3DIC. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Sourav Roy, Shahin Tajik, Domenic Forte Polymorphic Sensor to Detect Laser Logic State Imaging Attack. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zihao Chen, Songlei Meng, Fan Yang 0001, Li Shang, Xuan Zeng 0001 TOTAL: Topology Optimization of Operational Amplifier via Reinforcement Learning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Cheng-Yen Lee, Sunil P. Khatri, Sarma B. K. Vrudhula A Novel Pseudo-Flash Based Digital Low Dropout (LDO) Voltage Regulator. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ning-Chi Huang, Min-Syue Yang, Ya-Chu Chang, Kai-Chiang Wu Decomposable Architecture and Fault Mitigation Methodology for Deep Learning Accelerators. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Yuqin Dou, Chongyan Gu, Chenghua Wang, Weiqiang Liu 0001 A Novel Method Against Hardware Trojans in Approximate Circuits. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Quanbao Guo, Keni Qiu A2OP: an A* Algorithm OPtimizer with the Heuristic Function for PCB Automatic Routing. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Nishant Bharti, Arijit Nath, Swati Upadhyay, Hemangee K. Kapoor ZOCHEN: Compression Using Zero Chain Elimination and Encoding to Improve Endurance of Non-Volatile Memories. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Jaspinder Kaur, Shirshendu Das ACPC: Covert Channel Attack on Last Level Cache using Dynamic Cache Partitioning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Andrea Guerrieri, Gabriel Da Silva Marques, Francesco Regazzoni 0001, Andres Upegui H-Saber: An FPGA-Optimized Version for Designing Fast and Efficient Post-Quantum Cryptography Hardware Accelerators. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Rahul Vishwakarma, Ravi Monani, Amin Rezaei 0001, Hossein Sayadi, Mehrdad Aliasgari, Ava Hedayatipour Attacks on Continuous Chaos Communication and Remedies for Resource Limited Devices. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Shamiul Alam, Kazi Asifuzzaman, Ahmedullah Aziz A Novel Scalable Array Design for III-V Compound Semiconductor-based Nonvolatile Memory (UltraRAM) with Separate Read-Write Paths. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Bindu G. Gowda, Prashanth H. C., Madhav Rao Error Diluted Approximate Multipliers Using Positive And Negative Compressors. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Archie Mishra, Nanditha Rao DSEAdd: FPGA based Design Space Exploration for Approximate Adders with Variable Bit-precision. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Hyunwoo Kim, Hyundong Lee, Jongbeom Kim, Yunjeong Go, Seungwon Baek, Jaehong Song, Junhyeon Kim, Minyoung Jung, Hyodong Kim, Seongju Kim, Taigon Song Cache Register Sharing Structure for Channel-level Near-memory Processing in NAND Flash Memory. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Omari Paul, Sakib Abrar, Richard Mu, Riadul Islam, Manar D. Samad Deep Image Segmentation for Defect Detection in Photo-lithography Fabrication. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Esther Roorda, Steven J. E. Wilton Online Training from Streaming Data with Concept Drift on FPGAs. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Honghao Zheng, Yang Yi 0002 Spiking Domain Feature Extraction with Temporal Dynamic Learning. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Shailesh Rajput, Jaya Dofe, Wafi Danesh Automating Hardware Trojan Detection Using Unsupervised Learning: A Case Study of FPGA. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Zhangying He, Hossein Sayadi Image-Based Zero-Day Malware Detection in IoMT Devices: A Hybrid AI-Enabled Method. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Brady Prince, M. Hassan Najafi, Bingzhe Li Scalable Low-Cost Sorting Network with Weighted Bit-Streams. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Dhanasekar V, Vinodhini Gunasekaran, Anusha Challa, Bama Srinivasan, J. Dhurga Devi, Selvi Ravindran, Ranjani Parthasarathi, P. V. Ramakrishna, Gopika Geetha Kumar, Venkateswaran Padmanabhan, Guha Lakshmanan, Lakshmanan Balasubramanian Analysis of Machine Learning Techniques for Time Domain Waveform Prediction in Analog and Mixed Signal Integrated Circuit Verification. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Mayank Kabra, Prashanth H. C., Kedar Deshpande, Madhav Rao eDRAM-OESP: A novel performance efficient in-embedded-DRAM-compute design for on-edge signal processing application. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Luke R. Upton, Guénolé Lallement, Michael D. Scott 0002, Joyce Taylor, Robert M. Radway, Dennis Rich, Mark Nelson, Subhasish Mitra, Boris Murmann Testbench on a Chip: A Yield Test Vehicle for Resistive Memory Devices. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Md Omar Faruque, Wenjie Che Enlarging Reliable Pairs via Inter-Distance Offset for a PUF Entropy-Boosting Algorithm. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Mengxin Zheng, Fan Chen 0001, Lei Jiang 0001, Qian Lou PriML: An Electro-Optical Accelerator for Private Machine Learning on Encrypted Data. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Patricia Gonzalez-Guerrero, Kylie Huch, Nirmalendu Patra, Doru-Thom Popovici, George Michelogiannakis An Area Efficient Superconducting Unary CNN Accelerator. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Mahdi Taheri, Mohammad Riazati, Mohammad Hasan Ahmadilivani, Maksim Jenihhin, Masoud Daneshtalab, Jaan Raik, Mikael Sjödin, Björn Lisper DeepAxe: A Framework for Exploration of Approximation and Reliability Trade-offs in DNN Accelerators. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
40Ankit Shukla, Laura Heller, Md Golam Morshed, Laura Rehm, Avik W. Ghosh, Andrew D. Kent, Shaloo Rakheja A True Random Number Generator for Probabilistic Computing using Stochastic Magnetic Actuated Random Transducer Devices. Search on Bibsonomy ISQED The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 2576 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license