The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for MediaBench with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1997-2002 (25) 2003 (18) 2004 (26) 2005 (25) 2006 (23) 2007 (25) 2008-2009 (22) 2010 (2)
Publication types (Num. hits)
article(33) inproceedings(133)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 209 occurrences of 134 keywords

Results
Found 166 publication records. Showing 166 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
91Chunho Lee, Miodrag Potkonjak, William H. Mangione-Smith MediaBench: A Tool for Evaluating and Synthesizing Multimedia and Communicatons Systems. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MediaBench, SPEC benchmark suite, benchmark suite, compilation technology, experimental measurement, general-purpose computing, general-purpose systems, inner-loops, optimization, multimedia systems, instruction-level parallelism, SIMD, VLIW, communications systems, embedded applications, microprocessor architectures
42Jason E. Fritts, Frederick W. Steiling, Joseph A. Tucek, Wayne H. Wolf MediaBench II video: Expediting the next generation of video systems research. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Gokhan Memik, William H. Mangione-Smith Evaluating Network Processors using NetBench. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Embedded systems, benchmarking, network processors
25Ricardo Santos 0002, Rodolfo Azevedo, Guido Araujo 2D-VLIW: An Architecture Based on the Geometry of Computation. Search on Bibsonomy ASAP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Jiangjiang Liu 0002, Brian Bell, Tan Truong Analysis and Characterization of Intel Itanium Instruction Bundles for Improving VLIW Processor Performance. Search on Bibsonomy IMSCCS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25David M. Brooks, Margaret Martonosi Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25David M. Brooks, Margaret Martonosi Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Silvian Calman, Jianwen Zhu Interprocedural induction variable analysis based on interprocedural SSA form IR. Search on Bibsonomy PASTE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF induction variable analysis, interprocedural ssa, ssa
12Mohammad Shihabul Haque, Jorgen Peddersen, Andhi Janapsatya, Sri Parameswaran SCUD: a fast single-pass L1 cache simulation approach for embedded processors with round-robin replacement policy. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF miss rate, simulation, round robin, cache simulation, L1 cache
12Dominique Chanet, Javier Cabezas, Enric Morancho, Nacho Navarro, Koen De Bosschere Linux Kernel Compaction through Cold Code Swapping. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Lian Li 0002, Hui Feng, Jingling Xue Compiler-directed scratchpad memory management via graph coloring. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF live range splitting, memory coloring, graph coloring, memory allocation, Scratchpad memory, register coalescing, software-managed cache
12Tyrel Russell, Abid M. Malik, Michael Chase, Peter van Beek Learning Heuristics for the Superblock Instruction Scheduling Problem. Search on Bibsonomy IEEE Trans. Knowl. Data Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Anca Mariana Molnos, Sorin Cotofana, Marc J. M. Heijligers, Jos T. J. van Eijndhoven Compositional, Dynamic Cache Management for Embedded Chip Multiprocessors. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Predictability, Multiprocessor, Compositionality, Cache management
12Kevin J. M. Martin, Christophe Wolinski, Krzysztof Kuchcinski, Antoine Floch, François Charot Constraint-Driven Identification of Application Specific Instructions in the DURASE System. Search on Bibsonomy SAMOS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Samir Ammenouche, Sid Ahmed Ali Touati, William Jalby On Instruction-Level Method for Reducing Cache Penalties in Embedded VLIW Processors. Search on Bibsonomy HPCC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Yanqin Yang, Meng Wang 0005, Zili Shao, Minyi Guo Dynamic Scratch-Pad Memory Management with Data Pipelining for Embedded Systems. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Silvian Calman, Jianwen Zhu Increasing the Scope and Resolution of Interprocedural Static Single Assignment. Search on Bibsonomy SAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dataflow, constant propagation, SSA, interprocedural
12Kapil Anand, Rajeev Barua Instruction cache locking inside a binary rewriter. Search on Bibsonomy CASES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cache locking, embedded systems, caches, binary rewriting
12Jie Tao 0001, Dominic Hillenbrand, Holger Marten Instruction Hints for Super Efficient Data Caches. Search on Bibsonomy ICCS (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF simulation, architecture design, Cache optimization
12Ozcan Ozturk 0001, Mahmut T. Kandemir, Guangyu Chen Access pattern-based code compression for memory-constrained systems. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CFG, code access pattern, Embedded systems, code compression, memory optimization
12Shu Xiao 0001, Edmund Ming-Kit Lai A Rough Programming Approach to Power-Balanced Instruction Scheduling for VLIW Digital Signal Processors. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Sanghyun Park, Aviral Shrivastava, Yunheung Paek Hiding Cache Miss Penalty Using Priority-based Execution for Embedded Processors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Anca Mariana Molnos, Marc J. M. Heijligers, Sorin Dan Cotofana Compositional, dynamic cache management for embedded chip multiprocessors. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Mattias V. Eriksson, Oskar Skoog, Christoph W. Kessler Optimal vs. heuristic integrated code generation for clustered VLIW architectures. Search on Bibsonomy SCOPES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kenneth Hoste, Lieven Eeckhout Characterizing the Unique and Diverse Behaviors in Existing and Emerging General-Purpose and Domain-Specific Benchmark Suites. Search on Bibsonomy ISPASS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Yanqin Yang, Zili Shao, Linfeng Pan, Minyi Guo ISOS: Space Overlapping Based on Iteration Access Patterns for Dynamic Scratch-pad Memory Management in Embedded Systems. Search on Bibsonomy ICYCS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Talal Bonny, Jörg Henkel FBT: filled buffer technique to reduce code size for VLIW processors. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau Control flow optimization in loops using interval analysis. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF algorithmic code transformation, compiler loop optimization, interval analysis
12Arun Rangasamy, Rahul Nagpal, Y. N. Srikant Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dvs, dynamic energy, energy, multiple clock domains
12Allen C. Cheng Amplifying Embedded System Efficiency via Automatic Instruction Fusion on a Post-Manufacturing Reconfigurable Architecture Platform. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Shlomit S. Pinter, Israel Waldman Selective Code Compression Scheme for Embedded Systems. Search on Bibsonomy Trans. High Perform. Embed. Archit. Compil. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF run-time decompression, Code compression, code size reduction
12Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Thermal Management of On-Chip Caches Through Power Density Minimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Shao-Yang Wang, Rong-Guey Chang Code size reduction by compressing repeated instruction sequences. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Repeated instruction sequence, Index table, Instruction table, Register bank, Code compression, Decompression, Instruction prefetching
12Love Singhal, Elaheh Bozorgzadeh, David Eppstein Interconnect Criticality-Driven Delay Relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Shu Xiao 0001, Edmund Ming-Kit Lai VLIW instruction scheduling for minimal power variation. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power variation reduction, Instruction scheduling, VLIW processors
12Peter G. Sassone, D. Scott Wills, Gabriel H. Loh Static strands: Safely exposing dependence chains for increasing embedded power efficiency. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dependency collapsing, Architecture, energy, sequentiality
12Guangyu Chen, Feihui Li, Mahmut T. Kandemir Reducing Energy Consumption of On-Chip Networks Through a Hybrid Compiler-Runtime Approach. Search on Bibsonomy PACT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Zhiguo Ge, Weng-Fai Wong, Hock-Beng Lim DRIM: a low power dynamically reconfigurable instruction memory hierarchy for embedded systems. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Seok-Won Seong, Prabhat Mishra 0001 An efficient code compression technique using application-aware bitmask and dictionary selection methods. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Yee Jern Chong, Sri Parameswaran Automatic application specific floating-point unit generation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran, Jörg Henkel Instruction trace compression for rapid instruction cache simulation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 Virtual Cluster Scheduling Through the Scheduling Graph. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Mohammad Ali Ghodrat, Tony Givargis, Alex Nicolau Short-Circuit Compiler Transformation: Optimizing Conditional Blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Xianhua Liu 0001, Jiyu Zhang, Xu Cheng 0001 NISD: A Framework for Automatic Narrow Instruction Set Design. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF dual-width instruction set, narrow instruction set design, automatic instruction set design
12Seunghoon Kim, Robert P. Dick, Russ Joseph Power deregulation: eliminating off-chip voltage regulation circuitry from embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF power regulation, multimedia, chip multiprocessor, battery
12Haifeng He, Saumya K. Debray, Gregory R. Andrews The revenge of the overlay: automatic compaction of OS kernel code via on-demand code loading. Search on Bibsonomy EMSOFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF code clustering, embedded systems, code compaction, binary rewriting
12Je-Hyung Lee, Jinpyo Park, Soo-Mook Moon Securing More Registers with Reduced Instruction Encoding Architectures. Search on Bibsonomy RTCSA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Lian Li 0002, Hui Wu 0001, Hui Feng, Jingling Xue Towards Data Tiling for Whole Programs in Scratchpad Memory Allocation. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Philip Brisk, Ajay Kumar Verma, Paolo Ienne An optimistic and conservative register assignment heuristic for chordal graphs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF static single assignment (ssa) form, chordal graph, register assignment
12André Silva, Guilherme Álvaro R. M. Esmeraldo, Edna Barros, Pablo Viana Cache-Analyzer: Design Space Evaluation of Configurable-Caches in a Single-Pass. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Kimish Patel, Wonbok Lee, Massoud Pedram Minimizing power dissipation during write operation to register files. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF write operation, power, register file
12Christophe Wolinski, Krzysztof Kuchcinski Identification of Application Specific Instructions Based on Sub-Graph Isomorphism Constraints. Search on Bibsonomy ASAP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Eduardo Braulio Wanderley Netto, Romain Vaslin, Guy Gogniat, Jean-Philippe Diguet A Code Compression Method to Cope with Security Hardware Overheads. Search on Bibsonomy SBAC-PAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Neeraj Goel, Anshul Kumar, Preeti Ranjan Panda Power Reduction in VLIW Processor with Compiler Driven Bypass Network. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
12Andrew D. Hilton, Amir Roth Ginger: control independence using tag rewriting. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF control independence, out-of-order renaming, selective re-dispatch, branch misprediction
12Soheil Ghiasi, Po-Kuan Huang, Roozbeh Jafari Probabilistic delay budget assignment for synthesis of soft real-time applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne ISEGEN: an iterative improvement-based ISE generation technique for fast customization of processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Praveen Kalla, Xiaobo Sharon Hu, Jörg Henkel Distance-based recent use (DRU): an enhancement to instruction cache replacement policies for transition energy reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ajay Joshi, Aashish Phansalkar, Lieven Eeckhout, Lizy Kurian John Measuring Benchmark Similarity Using Inherent Program Characteristics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling techniques, performance of systems, Measurement techniques, performance attributes
12Olivier Rochecouste, Gilles Pokam, André Seznec A case for a complexity-effective, width-partitioned microarchitecture. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Power analysis
12Desiree Ottoni, Guilherme Ottoni, Guido Araujo, Rainer Leupers Offset assignment using simultaneous variable coalescing. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stack offset assignment, address registers, autoincrement addressing modes, variable coalescing, DSPs, register allocation
12Kashif Ali, Mokhtar Aboelaze, Suprakash Datta Modified Hotspot Cache Architecture: A Low Energy Fast Cache for Embedded Processors. Search on Bibsonomy ICSAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Masayo Haneda, Peter M. W. Knijnenburg, Harry A. G. Wijshoff Code Size Reduction by Compiler Tuning. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran A novel instruction scratchpad memory optimization method based on concomitance metric. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Andhi Janapsatya, Aleksandar Ignjatovic, Sri Parameswaran Finding optimal L1 cache configuration for embedded systems. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yen-Jen Chang Lazy BTB: reduce BTB energy consumption using dynamic profiling. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Tom Vander Aa, Murali Jayapala, Henk Corporaal, Francky Catthoor, Geert Deconinck Instruction Transfer And Storage Exploration for Low Energy VLIWs. Search on Bibsonomy SiPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Lian Li 0002, Jingling Xue Trace-Based Data Cache Leakage Reduction at Link Time. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12John Gilbert, David M. Abrahamson Adaptive object code compression. Search on Bibsonomy CASES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code compression, code size reduction, code compaction
12Basant Kumar Dwivedi, Arun Kejariwal, M. Balakrishnan, Anshul Kumar Rapid Resource-Constrained Hardware Performance Estimation. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Wonbok Lee, Kimish Patel, Massoud Pedram Dynamic thermal management for MPEG-2 decoding. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MPEG-2 decoding, thermal model, temperature-aware design
12Israel Waldman, Shlomit S. Pinter Profile-driven compression scheme for embedded systems. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF run-time decompression, code compression, code size reduction
12Constantino G. Ribeiro, Marcelo Cintra Quantifying Uncertainty in Points-To Relations. Search on Bibsonomy LCPC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ajay Joshi, Lieven Eeckhout, Robert H. Bell Jr., Lizy Kurian John Performance Cloning: A Technique for Disseminating Proprietary Applications as Benchmarks. Search on Bibsonomy IISWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
12Ying Chen, Karthik Ranganathan, Vasudev V. Pai, David J. Lilja, Kia Bazargan A Novel Memory Structure for Embedded Systems: Flexible Sequential and Random Access Memory. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sequential access buffer, media benchmark, flexible sequential and random access memory, on-chip memory
12Enric Gibert, F. Jesús Sánchez, Antonio González 0001 Distributed Data Cache Designs for Clustered VLIW Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF design styles, Single data stream architectures
12Nahri Moreano, Edson Borin, Cid C. de Souza, Guido Araujo Efficient datapath merging for partially reconfigurable architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Ankur Srivastava 0001, Seda Ogrenci Memik, Bo-Kyung Choi, Majid Sarrafzadeh On effective slack management in postscheduling phase. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang, Frank Vahid, Jun Yang 0002, Walid A. Najjar A way-halting cache for low-energy high-performance systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, dynamic optimization, low energy
12Chuanjun Zhang, Frank Vahid, Walid A. Najjar A highly configurable cache for low energy embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded systems, low power, Cache, microprocessor, configurable, memory hierarchy, low energy, architecture tuning
12Philip Brisk, Jamie Macbeth 0001, Ani Nahapetian, Majid Sarrafzadeh A dictionary construction technique for code compression systems with echo instructions. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF (dictionary) compression, echo instructions, scheduling
12Peter G. Sassone, D. Scott Wills, Gabriel H. Loh Static strands: safely collapsing dependence chains for increasing embedded power efficiency. Search on Bibsonomy LCTES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF dependency collapsing, architecture, embedded, energy, sequentiality
12Enric Gibert, Jaume Abella 0001, F. Jesús Sánchez, Xavier Vera, Antonio González 0001 Variable-Based Multi-module Data Caches for Clustered VLIW Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Alex K. Jones, Raymond Hoare, Dara Kusic, Joshua Fazekas, John Foster 0001 An FPGA-based VLIW processor with custom hardware execution. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF NIOS, parallelism, compiler, synthesis, kernels, VLIW
12Partha Biswas, Sudarshan Banerjee, Nikil D. Dutt, Laura Pozzi, Paolo Ienne ISEGEN: Generation of High-Quality Instruction Set Extensions by Iterative Improvement. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Tingting Sha, Milo M. K. Martin, Amir Roth Scalable Store-Load Forwarding via Store Queue Index Prediction. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Qiang Wu, Philo Juang, Margaret Martonosi, Douglas W. Clark Voltage and Frequency Control With Adaptive Reaction Time in Multiple-Clock-Domain Processors. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Azadeh Davoodi, Ankur Srivastava 0001 Simultaneous floorplanning and resource binding: a probabilistic approach. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kiruthika Selvamani, Tarek M. Taha Estimating critical region parallelism to guide platform retargeting. Search on Bibsonomy ACM Southeast Regional Conference (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF analytical model, performance prediction
12Juan Chen 0001, Yong Dong, Huizhan Yi, Xuejun Yang Power Consumption Analysis of Embedded Multimedia Application. Search on Bibsonomy ICESS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Eduardo Afonso Billo, Rodolfo Azevedo, Guido Araujo, Paulo Centoducatte, Eduardo Braulio Wanderley Netto Design of a decompressor engine on a SPARC processor. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance, code compression
12Hongkyu Kim, D. Scott Wills, Linda M. Wills Technology-based Architectural Analysis of Operand Bypass Networks for Efficient Operand Transport. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Chuanjun Zhang An efficient direct mapped instruction cache for application-specific embedded systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF efficient cache design, instruction cache, low power cache
12Trevor N. Mudge Performance and power analysis of computer systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Kugan Vivekanandarajah, Thambipillai Srikanthan Custom Instruction Filter Cache Synthesis for Low-Power Embedded Systems. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Gokhan Memik, Mahmut T. Kandemir, Arindam Mallik Load elimination for low-power embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF load elimination technique, low power design
12Brian Fahs, Todd M. Rafacz, Sanjay J. Patel, Steven S. Lumetta Continuous Optimization. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Vlad Petric, Tingting Sha, Amir Roth RENO - A Rename-Based Instruction Optimizer. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Jennifer L. Wong, Farinaz Koushanfar, Miodrag Potkonjak Flexible ASIC: shared masking for multiple media processors. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, interconnect, ASIC
Displaying result #1 - #100 of 166 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license