|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 5436 occurrences of 2452 keywords
|
|
|
Results
Found 16451 publication records. Showing 16451 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
97 | Natarajan Viswanathan, Min Pan, Chris C. N. Chu |
FastPlace 3.0: A Fast Multilevel Quadratic Placement Algorithm with Placement Congestion Control. |
ASP-DAC |
2007 |
DBLP DOI BibTeX RDF |
legalization technique, FastPlace 3.0, multilevel quadratic placement algorithm, placement congestion control, large-scale mixed-size designs, multilevel global placement framework, two-level clustering scheme, iterative local refinement, placement blockages, placement congestion constraints |
75 | Viral Shah, Sourav Bhattacharya |
Fault propagation analysis based variable length checkpoint placement for fault-tolerant parallel and distributed systems. |
COMPSAC |
1997 |
DBLP DOI BibTeX RDF |
fault propagation analysis based variable length checkpoint placement, fault tolerant parallel systems, optimal checkpoint placement strategies, failure propagation analysis, distributed rollback recovery system, FPA based checkpoint placement strategy, task grouping/allocation, loop stabilization aspects, message communication instructions, checkpoint placement strategy, message send/receive regions, FPA process, checkpoint placement strategies, cyclic relationship, distributed systems, parallel algorithm, fast Fourier transform, FFT, recursion, distributed programs, task allocation, system recovery, link failures |
75 | Andrey Ayupov, Alexander Marchenko, Vladimir Tiourin |
An analytical approach to placement legalization. |
ACM Great Lakes Symposium on VLSI |
2008 |
DBLP DOI BibTeX RDF |
placement legalization, placement spreading, analytical placement, detailed placement |
74 | Majid Sarrafzadeh, Maogang Wang |
NRG: global and detailed placement. |
ICCAD |
1997 |
DBLP DOI BibTeX RDF |
NRG, Global Placement, Placement, Detailed Placement |
70 | Ravi Varadarajan |
Convergence of placement technology in physical synthesis: is placement really a point tool? |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
|
68 | Hemant K. Bhargava, Juan Feng |
Paid placement strategies for internet search engines. |
WWW |
2002 |
DBLP DOI BibTeX RDF |
information gatekeepers, paid placement, search engines, bias, promotion |
65 | Shin'ichi Wakabayashi, Nobuyuki Iwauchi, Hajime Kubota |
A hierarchical standard cell placement method based on a new cluster placement model. |
APCCAS (1) |
2002 |
DBLP DOI BibTeX RDF |
|
65 | John A. Chandy, Prithviraj Banerjee |
Parallel simulated annealing strategies for VLSI cell placement. |
VLSI Design |
1996 |
DBLP DOI BibTeX RDF |
parallel simulated annealing strategies, VLSI cell placement, cell placement annealing, multiple Markov chains, parallel moves approach, parallel algorithms, VLSI, simulated annealing, Markov processes, VLSI design, circuit layout CAD, integrated circuit layout, speculative computation, standard cell placement |
63 | Herman Schmit, Amit Gupta, Radu Ciobanu |
Placement challenges for structured ASICs. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
field programmable gate arrays, placement, structured ASICs |
63 | Pongstorn Maidee, Cristinel Ababei, Kia Bazargan |
Timing-driven partitioning-based placement for island style FPGAs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2005 |
DBLP DOI BibTeX RDF |
|
63 | Bill Halpin, Naresh Sehgal, C. Y. Roger Chen |
Detailed Placement with Net Length Constraints. |
IWSOC |
2003 |
DBLP DOI BibTeX RDF |
|
63 | Thomas J. Murray 0002, A. Wayne Madison, James Westall |
Lookahead page placement. |
ACM Southeast Regional Conference |
1995 |
DBLP DOI BibTeX RDF |
|
60 | Pak K. Chan, Martine D. F. Schlag |
Parallel placement for field-programmable gate arrays. |
FPGA |
2003 |
DBLP DOI BibTeX RDF |
parallel placement, FPGAs, timing-driven placement, analytical placement |
59 | Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang |
X-architecture placement based on effective wire models. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
X architecture, partitioning, placement, physical design, Steiner tree, min cut, net weighting |
59 | Andrew B. Kahng, Sherief Reda, Qinke Wang |
APlace: a general analytic placement framework. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mixed size, congestion, multi-level, analytical placement |
59 | Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh |
Routability driven white space allocation for fixed-die standard-cell placement. |
ISPD |
2002 |
DBLP DOI BibTeX RDF |
placement, physical design, routability |
58 | Gi-Joon Nam, Mehmet Can Yildiz, David Z. Pan, Patrick H. Madden |
ISPD placement contest updates and ISPD 2007 global routing contest. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
|
58 | Xiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh |
Routability-driven white space allocation for fixed-die standard-cell placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2003 |
DBLP DOI BibTeX RDF |
|
56 | Prashant Saxena, Bill Halpin |
Modeling repeaters explicitly within analytical placement. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
interconnect, placement, scaling, buffering, repeater insertion, force-directed placement, analytical placement |
56 | Pongstorn Maidee, Cristinel Ababei, Kia Bazargan |
Fast timing-driven partitioning-based placement for island style FPGAs. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
FPGA placement, partitioning based placement, FPGAs, timing-driven placement |
55 | Sooyong Kang, Sungwoo Hong, Youjip Won |
Storage technique for real-time streaming of layered video. |
Multim. Syst. |
2009 |
DBLP DOI BibTeX RDF |
Layered encoding, Multimedia, File system, Storage, Scalable streaming |
55 | Renato Fernandes Hentschke, Guilherme Flach, Felipe Pinto, Ricardo Reis 0001 |
Quadratic placement for 3d circuits using z-cell shifting, 3d iterative refinement and simulated annealing. |
SBCCI |
2006 |
DBLP DOI BibTeX RDF |
3d circuits, cell shifting, placement, quadratic placement |
55 | Tony F. Chan, Jason Cong, Michail Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 |
mPL6: a robust multilevel mixed-size placement engine. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mixed-size placement, legalization, helmholtz equation, force-directed placement, multilevel optimization |
54 | Jason Cong, Guojie Luo |
An analytical placer for mixed-size 3D placement. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
placement, 3D integration, analytical method |
54 | Philip Chong, Christian Szegedy |
A morphing approach to address placement stability. |
ISPD |
2007 |
DBLP DOI BibTeX RDF |
incremental placement, stability, morphing |
54 | Tung-Chieh Chen, Tien-Chang Hsu, Zhe-Wei Jiang, Yao-Wen Chang |
NTUplace: a ratio partitioning based placement algorithm for large-scale mixed-size designs. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mincut, ratio cut, placement |
53 | Haixia Yan, Zhuoyuan Li, Xianlong Hong, Qiang Zhou 0001 |
Unified Quadratic Programming Approach For 3-D Mixed Mode Placement. |
ISCAS |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Deshanand P. Singh, Stephen Dean Brown |
Integrated retiming and placement for field programmable gate arrays. |
FPGA |
2002 |
DBLP DOI BibTeX RDF |
|
53 | R. V. Raj, N. S. Murty, P. S. Nagendra Rao, Lalit M. Patnaik |
Effective Heuristics for Timing Driven Constructive Placement. |
VLSI Design |
1997 |
DBLP DOI BibTeX RDF |
|
53 | Daniel Alexander Ford, Stavros Christodoulakis |
Optimal Placement of High-Probability Randomly Retrieved Blocks on CLV Optical Discs. |
ACM Trans. Inf. Syst. |
1991 |
DBLP DOI BibTeX RDF |
performance, management |
52 | Natarajan Viswanathan, Min Pan, Chris C. N. Chu |
FastPlace: an analytical placer for mixed-mode designs. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mixed-mode placement, floorplanning, analytical placement |
52 | Sung-Woo Hur, Tung Cao, Karthik Rajagopal, Yegna Parasuram, Amit Chowdhary, Vladimir Tiourin, Bill Halpin |
Force directed mongrel with physical net constraints. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
mongrel, net constraints, timing driven placement, force directed placement |
52 | Haixia Yan, Qiang Zhou 0001, Xianlong Hong |
Efficient Thermal Aware Placement Approach Integrated with 3D DCT Placement Algorithm. |
ISQED |
2008 |
DBLP DOI BibTeX RDF |
placement, DCT, 3D, thermal |
51 | Raoul F. Badaoui, Ranga Vemuri |
Multi-Placement Structures for Fast and Optimized Placement in Analog Circuit Synthesis. |
DATE |
2005 |
DBLP DOI BibTeX RDF |
|
51 | Nikos Hardavellas, Michael Ferdman, Babak Falsafi, Anastasia Ailamaki |
Reactive NUCA: near-optimal block placement and replication in distributed caches. |
ISCA |
2009 |
DBLP DOI BibTeX RDF |
block migration, block placement, block replication, cache indexing, cache lookup, non-uniform cache access, nuca, r-nuca, reactive nuca, rotational interleaving, cache, replication, chip multiprocessor, cmp, placement, multicore, multi-core, migration, cache coherence, data replication, coherence, interleaving, data migration, data placement, shared cache, cache management, lookup, last-level cache, private cache |
50 | Tony F. Chan, Jason Cong, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 |
mPL6: enhanced multilevel mixed-size placement. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
mixed-size placement, legalization, Helmholtz equation, force-directed placement, multilevel optimization |
50 | Faris H. Khundakjie, Patrick H. Madden, Nael B. Abu-Ghazaleh, Mehmet Can Yildiz |
Parallel Standard Cell Placement on a Cluster of Workstations. |
CLUSTER |
2001 |
DBLP DOI BibTeX RDF |
Partitioning based Placement, Parallel VLSI Placement, Message Passing Applications, Standard Cell |
50 | Michael Marchetti, Leonidas I. Kontothanassis, Ricardo Bianchini, Michael L. Scott |
Using simple page placement policies to reduce the cost of cache fills in coherent shared-memory systems. |
IPPS |
1995 |
DBLP DOI BibTeX RDF |
page placement policies, cache fills, OS-based page placement, page replication, performance, shared memory systems, shared-memory systems, storage management, operating systems (computers), cache storage, paged storage, distributed shared memory multiprocessors, page migration |
49 | Cristinel Ababei |
Parallel placement for FPGAs revisited. |
FPGA |
2009 |
DBLP DOI BibTeX RDF |
fpga placement, multithreading, parallel simulated annealing |
49 | Deepak Ganesan, Razvan Cristescu, Baltasar Beferull-Lozano |
Power-efficient sensor placement and transmission structure for data gathering under distortion constraints. |
ACM Trans. Sens. Networks |
2006 |
DBLP DOI BibTeX RDF |
sensing distortion, sensor node placement, Sensor networks, energy efficiency, information theory, data gathering |
49 | Alexei A. Karve, Tracy Kimbrel, Giovanni Pacifici, Mike Spreitzer, Malgorzata Steinder, Maxim Sviridenko, Asser N. Tantawi |
Dynamic placement for clustered web applications. |
WWW |
2006 |
DBLP DOI BibTeX RDF |
dynamic application placement, performance management |
49 | Saurabh N. Adya, Igor L. Markov |
Combinatorial techniques for mixed-size placement. |
ACM Trans. Design Autom. Electr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
VLSI, placement, floorplanning |
49 | Qinghua Liu, Malgorzata Marek-Sadowska |
A congestion-driven placement framework with local congestion prediction. |
ACM Great Lakes Symposium on VLSI |
2005 |
DBLP DOI BibTeX RDF |
cell padding, congestion prediction, placement migration |
49 | Christophe Guillon, Fabrice Rastello, Thierry Bidault, Florent Bouchez |
Procedure placement using temporal-ordering information: dealing with code size expansion. |
CASES |
2004 |
DBLP DOI BibTeX RDF |
min-matching, profiling, instruction cache, Hamiltonian-path, cache miss, code size, code placement |
49 | Jason Cong, Michail Romesis, Min Xie 0004 |
Optimality, scalability and stability study of partitioning and placement algorithms. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
optimality, scalability, stability, partitioning, placement |
49 | Bo Hu 0006, Malgorzata Marek-Sadowska |
Fine granularity clustering for large scale placement problems. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
clustering, placement |
49 | Guang-Ming Wu, Jai-Ming Lin, Yao-Wen Chang |
Performance-driven placement for dynamically reconfigurable FPGAs. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
field-programmable gate array, placement, dynamically reconfigurable, layout, Computer-aided design of VLSI |
49 | Tung-Chieh Chen, Yi-Lin Chuang, Yao-Wen Chang |
Effective Wire Models for X-Architecture Placement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2008 |
DBLP DOI BibTeX RDF |
|
49 | Min Pan, Chris C. N. Chu |
IPR: An Integrated Placement and Routing Algorithm. |
DAC |
2007 |
DBLP DOI BibTeX RDF |
|
49 | Saurabh N. Adya, S. Chaturvedi, Jarrod A. Roy, David A. Papa, Igor L. Markov |
Unification of partitioning, placement and floorplanning. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
49 | Stelian Alupoaei, Srinivas Katkoori |
Net-based force-directed macrocell placement for wirelength optimization. |
IEEE Trans. Very Large Scale Integr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
|
49 | Russell Tessier |
Fast placement approaches for FPGAs. |
ACM Trans. Design Autom. Electr. Syst. |
2002 |
DBLP DOI BibTeX RDF |
field-programmable gate arrays, synthesis, layout, Computer-aided design of VLSI |
49 | Stephen J. Sheel, Deborah Vrooman, René S. Renner, Shanda K. Dawsey |
A Comparison of Neural Networks and Classical Discriminant Analysis in Predicting Students' Mathematics Placement Examination Scores. |
International Conference on Computational Science (2) |
2001 |
DBLP DOI BibTeX RDF |
|
48 | Andrew B. Kahng, Qinke Wang |
Implementation and extensibility of an analytic placer. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
I/O-Core co-placement, hierarchical placement, congestion, geometric constraints, analytical placement |
48 | Wonjoon Choi, Kia Bazargan |
Hierarchical Global Floorplacement Using Simulated Annealing and Network Flow Area Migration. |
DATE |
2003 |
DBLP DOI BibTeX RDF |
floorplacement, global placement, area migration, Design, Algorithms, simulated annealing, Management, Floorplanning, network flow, hierarchical, Placement and routing |
47 | Yiu-Cheong Tam, Evangeline F. Y. Young, Chris C. N. Chu |
Analog placement with symmetry and other placement constraints. |
ICCAD |
2006 |
DBLP DOI BibTeX RDF |
symmetry constraints, placement, analog circuits, sequence-pair |
47 | Natarajan Viswanathan, Min Pan, Chris Chu |
FastPlace: An Efficient Multilevel Force-Directed Placement Algorithm. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Bo Hu 0006, Malgorzata Marek-Sadowska |
mFAR: Multilevel Fixed-Points Addition-Based VLSI Placement. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Tao Luo 0002, David Z. Pan |
DPlace: Anchor Cell-Based Quadratic Placement with Linear Objective. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Tony F. Chan, Kenton Sze, Joseph R. Shinnerl, Min Xie 0004 |
mPL6: Enhanced Multilevel Mixed-Size Placement with Congestion Control. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Jarrod A. Roy, David A. Papa, Igor L. Markov |
Capo: Congestion-Driven Placement for Standard-cell and RTL Netlists with Incremental Capability. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Taraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh |
Congestion Minimization in Modern Placement Circuits. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Gi-Joon Nam, Charles J. Alpert, Paul G. Villarrubia |
ISPD 2005/2006 Placement Benchmarks. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
47 | Jason Cong, Michalis Romesis, Joseph R. Shinnerl, Kenton Sze, Min Xie 0004 |
Locality and Utilization in Placement Suboptimality. |
Modern Circuit Placement |
2007 |
DBLP DOI BibTeX RDF |
|
46 | Stelian Alupoaei, Srinivas Katkoori |
Net Clustering Based Macrocell Placement. |
ASP-DAC/VLSI Design |
2002 |
DBLP DOI BibTeX RDF |
Macrocell placement, net clustering, net placement, net prioritization, force-directed placement, iterative improvement |
46 | Michael Eick, Martin Strasser, Helmut E. Graeb, Ulf Schlichtmann |
Automatic generation of hierarchical placement rules for analog integrated circuits. |
ISPD |
2010 |
DBLP DOI BibTeX RDF |
hierarchical placement rules, constraints, placement, analog integrated circuits |
46 | Natarajan Viswanathan, Chris C. N. Chu |
FastPlace: efficient analytical placement using cell shifting, iterative local refinement and a hybrid net model. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
net models, analytical placement, standard cell placement |
46 | Ulrich Brenner, Anna Pauli, Jens Vygen |
Almost optimum placement legalization by minimum cost flow and dynamic programming. |
ISPD |
2004 |
DBLP DOI BibTeX RDF |
placement, legalization, minimum-cost flow, detailed placement |
46 | Nikolaos G. Bourbakis, Mohammad Mortazavi |
An efficient building block layout methodology for compact placement. |
Great Lakes Symposium on VLSI |
1995 |
DBLP DOI BibTeX RDF |
building block layout methodology, compact placement, synthesis placement, GEOMETRIA, geometric reshapings, VLSI regulation, functional performance, connection lines, occupied chip area, neighboring relations, dead space, open holes, channels merging process, legal overlapping, VLSI, formal languages, formal language, network routing, circuit layout CAD, compaction, global routing, integrated circuit layout, integrated circuit interconnections, local routing |
45 | Yi-Lin Chuang, Sangmin Kim, Youngsoo Shin, Yao-Wen Chang |
Pulsed-latch aware placement for timing-integrity optimization. |
DAC |
2010 |
DBLP DOI BibTeX RDF |
pulsed latch, placement, physical design |
45 | Jackey Z. Yan, Natarajan Viswanathan, Chris Chu |
Handling complexities in modern large-scale mixed-size placement. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
incremental placement, mixed-size design, floorplanning |
45 | Zhe-Wei Jiang, Bor-Yiing Su, Yao-Wen Chang |
Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. |
DAC |
2008 |
DBLP DOI BibTeX RDF |
placement, physical design, routability |
45 | Robert Bodor, Andrew Drenner, Paul R. Schrater, Nikolaos Papanikolopoulos |
Optimal Camera Placement for Automated Surveillance Tasks. |
J. Intell. Robotic Syst. |
2007 |
DBLP DOI BibTeX RDF |
Robot/camera placement, Vision-based robotics, Optimization, Sensor networks, Observability, Camera networks |
45 | Jaydeep Marathe, Frank Mueller 0001 |
Hardware profile-guided automatic page placement for ccNUMA systems. |
PPoPP |
2006 |
DBLP DOI BibTeX RDF |
page placement, NUMA, profile-guided optimization, hardware performance monitoring |
45 | Jason Cong, Joseph R. Shinnerl, Min Xie 0004, Tim Kong, Xin Yuan 0005 |
Large-scale circuit placement. |
ACM Trans. Design Autom. Electr. Syst. |
2005 |
DBLP DOI BibTeX RDF |
optimality, scalability, Placement, large-scale optimization |
45 | Bo Yao, Hongyu Chen, Chung-Kuan Cheng, Nan-Chi Chou, Lung-Tien Liu, Peter Suaris |
Unified quadratic programming approach for mixed mode placement. |
ISPD |
2005 |
DBLP DOI BibTeX RDF |
mixed mode placement, discrete cosine transformation, quadratic programming |
45 | Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen |
Efficient timing closure without timing driven placement and routing. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
digital design flow, gate sizing, placement and routing, timing closure |
45 | Juan Feng, Hemant K. Bhargava, David M. Pennock |
Comparison of allocation rules for paid placement advertising in search engines. |
ICEC |
2003 |
DBLP DOI BibTeX RDF |
information gatekeepers, paid placement, slotting auctions, sponsored listings, search engines |
45 | Jason Cong, Xin Yuan 0005 |
Multilevel global placement with retiming. |
DAC |
2003 |
DBLP DOI BibTeX RDF |
physical hierarchy, placement, retiming, deep sub-micron |
45 | Madhukar R. Korupolu, Michael Dahlin |
Coordinated Placement and Replacement for Large-Scale Distributed Caches. |
IEEE Trans. Knowl. Data Eng. |
2002 |
DBLP DOI BibTeX RDF |
Cache, web, distributed, cooperative, placement, hierarchical, replacement |
45 | Nicholas C. Gloy, Michael D. Smith 0001 |
Procedure placement using temporal-ordering information. |
ACM Trans. Program. Lang. Syst. |
1999 |
DBLP DOI BibTeX RDF |
temporal profiling, working-set optimization, conflict misses, code placement |
44 | Val Pevzner, Andrew A. Kennings, Andy Fox |
Physical optimization for FPGAs using post-placement topology rewriting. |
ISPD |
2009 |
DBLP DOI BibTeX RDF |
fpga, timing optimization, physical synthesis |
44 | Chen Li 0004, Min Xie 0004, Cheng-Kok Koh, Jason Cong, Patrick H. Madden |
Routability-Driven Placement and White Space Allocation. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2007 |
DBLP DOI BibTeX RDF |
|
44 | Jason Cong, Min Xie 0004 |
A robust detailed placement for mixed-size IC designs. |
ASP-DAC |
2006 |
DBLP DOI BibTeX RDF |
|
44 | Haoxing Ren, David Zhigang Pan, Paul Villarrubia |
True crosstalk aware incremental placement with noise map. |
ICCAD |
2004 |
DBLP DOI BibTeX RDF |
|
44 | Benjamin Watson 0001, Neff Walker, Peter Woytiuk, William Ribarsky |
Maintaining Usability During 3D Placement Despite Delay. |
VR |
2003 |
DBLP DOI BibTeX RDF |
|
44 | Xiaoping Tang, D. F. Wong 0001 |
FAST-SP: a fast algorithm for block placement based on sequence pair. |
ASP-DAC |
2001 |
DBLP DOI BibTeX RDF |
|
44 | Charles J. Alpert, Tony F. Chan, Dennis J.-H. Huang, Igor L. Markov, Kenneth Yan |
Quadratic Placement Revisited. |
DAC |
1997 |
DBLP DOI BibTeX RDF |
|
44 | David K. Lowenthal, Gregory R. Andrews |
An Adaptive Approach to Data Placement. |
IPPS |
1996 |
DBLP DOI BibTeX RDF |
|
44 | Michael D. Osterman, Michael G. Pecht |
Placement for reliability and routability of convectively cooled PWBs. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
1990 |
DBLP DOI BibTeX RDF |
|
42 | Franz-Josef Brandenburg |
On the Complexity of Optimal Drawings of Graphs. |
WG |
1989 |
DBLP DOI BibTeX RDF |
placement graph grammars, NP-completeness, embeddings, graph grammars, graph layout |
42 | Andrew B. Kahng |
How to get real mad. |
ISPD |
2008 |
DBLP DOI BibTeX RDF |
design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability |
42 | Jarrod A. Roy, Saurabh N. Adya, David A. Papa, Igor L. Markov |
Min-cut floorplacement. |
IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. |
2006 |
DBLP DOI BibTeX RDF |
|
42 | Steve McKeever, Wayne Luk |
Provably-correct hardware compilation tools based on pass separation techniques. |
Formal Aspects Comput. |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Ashutosh Chakraborty, Anurag Kumar 0002, David Z. Pan |
RegPlace: a high quality open-source placement framework for structured ASICs. |
DAC |
2009 |
DBLP DOI BibTeX RDF |
global placement, regular ASIC, FPGA, placement, legalization, structured ASIC |
41 | Sherief Reda, Amit Chowdhary |
Effective linear programming based placement methods. |
ISPD |
2006 |
DBLP DOI BibTeX RDF |
relative placement, whitespace management, linear programming, timing-driven placement |
41 | Ulrich Brenner, Markus Struzyna |
Faster and better global placement by a new transportation algorithm. |
DAC |
2005 |
DBLP DOI BibTeX RDF |
VLSI-placement, global placement, transportation problem |
41 | Ali Ahmadinia, Christophe Bobda, Sándor P. Fekete, Jürgen Teich, Jan van der Veen |
Optimal Routing-Conscious Dynamic Placement for Reconfigurable Devices. |
FPL |
2004 |
DBLP DOI BibTeX RDF |
field-programable gate array (FPGA), occupied space manager (OSM), routing-conscious placement, Manhattan metric, line sweep technique, optimal running time, lower bounds, Reconfigurable computing, module placement |
41 | Bernd Obermeier, Frank M. Johannes |
Quadratic placement using an improved timing model. |
DAC |
2004 |
DBLP DOI BibTeX RDF |
Steiner tree net model, optimization potential, sensitivity, Quadratic placement, timing driven placement |
41 | Karthik Rajagopal, Tal Shaked, Yegna Parasuram, Tung Cao, Amit Chowdhary, Bill Halpin |
Timing driven force directed placement with physical net constraints. |
ISPD |
2003 |
DBLP DOI BibTeX RDF |
net constraints, timing driven placement, force directed placement |
Displaying result #1 - #100 of 16451 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ 5][ 6][ 7][ 8][ 9][ 10][ >>] |
|