The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for RLC with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1963-1993 (16) 1994-1997 (22) 1998-1999 (24) 2000 (16) 2001 (16) 2002 (42) 2003 (40) 2004 (42) 2005 (35) 2006 (50) 2007 (31) 2008 (23) 2009-2010 (26) 2011-2012 (16) 2013-2015 (15) 2016-2017 (23) 2018-2019 (15) 2020-2021 (22) 2022-2023 (15) 2024 (3)
Publication types (Num. hits)
article(174) data(1) incollection(1) inproceedings(313) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 203 occurrences of 144 keywords

Results
Found 492 publication records. Showing 492 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
97Vit Krichl SDU Discard Function of RLC Protocol in UMTS. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Radio Link Control, SDU discard, performance, UMTS, RLC
90Wei Luo, Krishna Balachandran, Sanjiv Nanda, K. K. Chang Delay analysis of selective-repeat ARQ with applications to link adaptation in wireless packet data systems. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
86Juan J. Alcaraz, Fernando Cerdán, Joan García-Haro Optimizing TCP and RLC interaction in the UMTS radio access network. Search on Bibsonomy IEEE Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
86Yehea I. Ismail, Eby G. Friedman Repeater insertion in RLC lines for minimum propagation delay. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
84Zuying Luo, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong, Xiaoyi Wang, Zhu Pan, Jingjing Fu Time-domain analysis methodology for large-scale RLC circuits and its applications. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RLC circuits, analog circuit analysis, P/G networks, algorithm complexity, time-domain analysis
74Fan Yang 0001, Xuan Zeng 0001, Yangfeng Su, Dian Zhou RLCSYN: RLC Equivalent Circuit Synthesis for Structure-Preserved Reduced-order Model of Interconnect. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Boyuan Yan, Sheldon X.-D. Tan, Pu Liu, Bruce McGaughy SBPOR: Second-Order Balanced Truncation for Passive Order Reduction of RLC Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Jinjun Xiong, Lei He 0001 Full-chip routing optimization with RLC crosstalk budgeting. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
74Yehea I. Ismail, Eby G. Friedman, José Luis Neves Inductance Effects in RLC Trees. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
67Juan J. Alcaraz, Gaspar Pedreño, Fernando Cerdán, Joan García-Haro Simulation of 3G DCHs supporting TCP traffic: design, experiments and insights on parameter tuning. Search on Bibsonomy SimuTools The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, TCP, 3G, OMNeT++, parameter setting, RLC
63Chia-Chun Tsai, Jan-Ou Wu, Trong-Yen Lee, Rong-Shue Hsiao Propagation Delay Minimization on RLC-Based Bus with Repeater Insertion. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
63Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-factor based loop RLC modeling for efficient timing analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Kai-Sheng Lu, Guo-Zhang Gao The node voltage equations and structural conditions of observability for RLC networks over F(z). Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Xiao Xu, Yi-Chiun Chen, Hua Xu, Eren Gonen, Peijuan Liu Parallel and distributed systems: simulation analysis of RLC timers in UMTS systems. Search on Bibsonomy WSC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63James D. Z. Ma, Lei He 0001 Towards global routing with RLC crosstalk constraints. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Yehea I. Ismail, Eby G. Friedman, José Luis Neves Equivalent Elmore delay for RLC trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
63Kevin J. Kerns, Andrew T. Yang Preservation of passivity during RLC network reduction via split congruence transformations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
62Chia-Chun Tsai, Jan-Ou Wu, Chung-Chieh Kuo, Trong-Yen Lee, Wen-Ta Lee Zero-Skew Driven for RLC Clock Tree Construction in SoC. Search on Bibsonomy ICITA (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF RLC delay model, Upward propagation, SoC, Clock tree, Zero skew
62Yu Cao 0001, Xiaodong Yang, Xuejue Huang, Dennis Sylvester Switch-Factor Based Loop RLC Modeling for Efficient Timing Analysis. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF RLC model, loop inductance, switch-factor, current return loop, data-bus and clock, static timing analysis, slew rate
62Raguraman Venkatesan, Jeffrey A. Davis, James D. Meindl A physical model for the transient response of capacitively loaded distributed rlc interconnects. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF distributed rlc lines, overshoot, interconnects, crosstalk, time delay, repeaters, transient response
62Jason Cong, Cheng-Kok Koh Interconnect layout optimization under higher-order RLC model. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RATS trees, Steiner routings, bounded-radius Steiner trees, higher-order RLC model, incremental moment computation algorithm, interconnect layout optimization, nonmonotone signal response, required-arrival-time Steiner trees, resistance-inductance-capacitance circuits, routing area, routing cost, routing topologies, shortest-path Steiner trees, signal delay, signal settling time, voltage overshoot, waveform optimization, waveform quality evaluation, wire-sizing optimization, circuit optimisation, topology optimization, delay optimization
56Yungseon Eo, Jongin Shim, William R. Eisenstadt A traveling-wave-based waveform approximation technique for thetiming verification of single transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
56Yehea I. Ismail, Eby G. Friedman DTT: direct truncation of the transfer function - an alternative tomoment matching for tree structured interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
56Yehea I. Ismail, Eby G. Friedman Effects of inductance on the propagation delay and repeater insertion in VLSI circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
52Massimo Alioto, Massimo Poli, Gaetano Palumbo Explicit energy evaluation in RLC tree circuits with ramp inputs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Zhuo Li 0001, Weiping Shi A new RLC buffer insertion algorithm. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Jinjun Xiong, Lei He 0001 Extended global routing with RLC crosstalk constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Lacina M. Coulibaly, H. J. Kadim Analytical crosstalk noise and its induced-delay estimation for distributed RLC interconnects under ramp excitation. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Quming Zhou, Kartik Mohanram Analysis of delay caused by bridging faults in RLC interconnects. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Jinjun Xiong, Jun Chen 0008, James D. Z. Ma, Lei He 0001 Post global routing RLC crosstalk budgeting. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
52Yehea I. Ismail, Eby G. Friedman, José Luis Neves Signal waveform characterization in RLC trees. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51J. V. R. Ravindra, Srinivas Bala Mandalika Modeling and analysis of crosstalk for distributed RLC interconnects using difference model approach. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RC, distributed RLC, interconnect, SPICE, circuit, RL
51Selim G. Akl, Weiguang Yao A Parallel Approach Eliminates Measurement Perturbations in RLC Circuits. Search on Bibsonomy J. Supercomput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF RLC-circuit, parallel computation, measurement, dynamical system, oscillation, perturbation, damping
51Yuichi Tanji, Hideki Asai Closed-form expressions of distributed RLC interconnects for analysis of on-chip inductance effects. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF RLC distributed interconnects, inductance effects
51Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
51Q. Su, Venkataramanan Balakrishnan, Cheng-Kok Koh Efficient Approximate Balanced Truncation of General Large-Scale RLC Systems via Krylov Methods. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Krylov, large scale systems, model reduction, RLC interconnects, balanced truncation
51Q. Su, Venkataramanan Balakrishnan, Cheng-Kok Koh A factorization-based framework for passivity-preserving model reduction of RLC systems. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF passivity preserving, factorization, large scale systems, model reduction, RLC interconnect
45Supratim Deb, Muriel Médard, Clifford Choute Algebraic gossip: a network coding approach to optimal multiple rumor mongering. Search on Bibsonomy IEEE Trans. Inf. Theory The full citation details ... 2006 DBLP  DOI  BibTeX  RDF message dissemination, network coding, gossip algorithms
45N. Enderle, Xavier Lagrange Radio link control-acknowledged mode protocol performance modeling in UMTS. Search on Bibsonomy MWCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
45Yehea I. Ismail, Eby G. Friedman, José Luis Neves Figures of merit to characterize the importance of on-chip inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Yehea I. Ismail, Eby G. Friedman, José Luis Neves Repeater insertion in tree structured inductive interconnect. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Yehea I. Ismail, Eby G. Friedman, José Luis Neves Figures of Merit to Characterize the Importance of On-Chip Inductance. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44George Xylomenos, Michael Makidis Adaptive link layer protocols for shared wireless links. Search on Bibsonomy MobiMedia The full citation details ... 2007 DBLP  DOI  BibTeX  RDF selective repeat, UMTS, link layer, RLC
44Liang Zhang 0038, Wentai Liu, Rizwan Bashirullah, John M. Wilson 0002, Paul D. Franzon Simplified delay design guidelines for on-chip global interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF effective attenuation constant, first incident switching, lossy transmission line, delay, global interconnects, RLC
43Boyuan Yan, Sheldon X.-D. Tan, Pu Liu, Bruce McGaughy Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF moment-matching methods, passive interconnect macromodeling, descriptor form, passive model order reduction, projection-based truncated balanced realization method, large RLC interconnect circuits, Lur'e equation, algebraic Riccati equations, generalized Lyapunov equations, passivity preservation, congruence transformation, large scale interconnect circuit, linear systems, structure information, Krylov-subspace methods, block structure, balanced truncation
43Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi PRIMA: passive reduced-order interconnect macromodeling algorithm. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MPVL, PRIMA, RLC interconnect circuits, block Arnoldi technique, driver-load models, guaranteed passivity, macromodel passivity, macromodel stability, passive reduced-order interconnect macromodeling algorithm, path tracing algorithm, reduced order N-port models, simulation, CAD, integrated circuit layout, frequency domain, circuit stability
41DiaaEldin Khalil, Yehea I. Ismail Approximate Frequency Response Models for RLC Power Grids. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Zhanyuan Jiang, Shiyan Hu, Jiang Hu, Weiping Shi An Efficient Algorithm for RLC Buffer Insertion. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
41Kanak Agarwal, Dennis Sylvester, David T. Blaauw Modeling and analysis of crosstalk noise in coupled RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Shang-Wei Tu, Yao-Wen Chang, Jing-Yang Jou RLC Coupling-Aware Simulation and On-Chip Bus Encoding for Delay Reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Guoqing Chen, Eby G. Friedman Effective capacitance of RLC loads for estimating short-circuit power. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
41Guoqing Chen, Eby G. Friedman Low power repeaters driving RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
41Kanak Agarwal, Dennis Sylvester, David T. Blaauw A library compatible driver output model for on-chip RLC transmission lines. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Kanak Agarwal, Dennis Sylvester, David T. Blaauw A simplified transmission-line based crosstalk noise model for on-chip RLC wiring. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Moment Computations of Nonuniform Distributed Coupled RLC Trees with Applications to Estimating Crosstalk Noise. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
41Noha H. Mahmoud, Yehea I. Ismail Accurate rise time and overshoots estimation in RLC interconnects. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
41Kaustav Banerjee, Amit Mehrotra Analysis of on-chip inductance effects for distributed RLC interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Qinwei Xu, Pinaki Mazumder, Li Ding 0002 Novel macromodeling for on-chip RC/RLC interconnects. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Jun Chen 0008, Lei He 0001 A decoupling method for analysis of coupled RLC interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Herng-Jer Lee, Chia-Chi Chu, Wu-Shiung Feng Crosstalk estimation in high-speed VLSI interconnect using coupled RLC-tree models. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
41Liang Yin, Lei He 0001 An efficient analytical model of coupled on-chip RLC interconnects. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
41Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Lei He 0001 Clocktree RLC Extraction with Efficient Inductance Modeling. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41Bernard N. Sheehan Projective Convolution: RLC Model-Order Reduction Using the Impulse Response. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Xiaodong Yang, Walter H. Ku, Chung-Kuan Cheng RLC interconnect delay estimation via moments of amplitude and phase response. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi Practical considerations for passive reduction of RLC circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Kunsheng Lu, Kai-Sheng Lu Controllability and observability of RLC networks over F(z). Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Ibrahim M. Elfadel, David D. Ling A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Arnoldi iteration, multipoint Pade' approximation, passivity, model-order reduction
41Kevin J. Kerns, Andrew T. Yang Preservation of Passivity During RLC Network Reduction via Split Congruence Transformations. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Luís Miguel Silveira, Mattan Kamon, Ibrahim M. Elfadel, Jacob White 0001 A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Magdy A. El-Moursy, Eby G. Friedman Optimum wire sizing of RLC interconnect with repeaters. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power delay product, transient power dissipation, propagation delay, repeater insertion, wire sizing, RLC interconnect
34Shanq-Jang Ruan, Tsang-Chi Kan, Jih-Chieh Hsu A novel crosstalk quantitative approach for simultaneously reducing power, noise, and delay based on bus-invert encoding schemes. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bus-invert, coupling, interconnect delay
34George Xylomenos, Michael Makidis Link Layer Adaptation for Shared Wireless Links. Search on Bibsonomy Mob. Networks Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptive selective repeat, radio link control, link layer protocols
34Yikang Xiang, Tao Jin, Jijun Luo, Egon Schulz, Carmelita Görg User Plane Protocol Optimization in Cellular Networks with Decode-and-Forward Type of Relay. Search on Bibsonomy VTC Spring The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Ahmed Shebaita, Dusan Petranovic, Yehea I. Ismail Including inductance in static timing analysis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Li-Chun Wang 0001, Chih-Wen Chang Gap Processing Time Analysis of Stall Avoidance Schemes for High-Speed Downlink Packet Access with Parallel HARQ Mechanisms. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stall, stall avoidance, multichannel SAW HARQ, gap processing time, HSDPA, HARQ
34Umberto Manzoli, Maria Luisa Merani Goodput and delay analysis of a radio link control protocol operating over a multicarrier DS-CDMA architecture. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Denis Deschacht DSM interconnects: importance of inductance effects and corresponding range of length. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Sara Arasteh, Chih-Cheng Hung, Enmin Song Adaptive dynamic run-length coding for image segmentation. Search on Bibsonomy SAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF dynamic run-length coding, image segmentation
34Juan J. Alcaraz, Fernando Cerdán Performance Evaluation of AQM Schemes in Rate-Varying 3G Links. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jun Chen 0008, Lei He 0001 Worst case crosstalk noise for nonswitching victims in high-speed buses. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Akira Tsuchiya, Masanori Hashimoto, Hidetoshi Onodera Representative frequency for interconnect R(f)L(f)C extraction. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Roland W. Freund SPRIM: structure-preserving reduced-order interconnect macromodeling. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
34Yu Cao 0001, Xuejue Huang, N. H. Chang, Shen Lin, O. Sam Nakagawa, Weize Xie, Dennis Sylvester, Chenming Hu Effective on-chip inductance modeling for multiple signal lines and application to repeater insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Yu Cao 0001, Xuejue Huang, Chenming Hu, Norman Chang, Shen Lin, O. Sam Nakagawa, Weize Xie Effective On-chip Inductance Modeling for Multiple Signal Lines and Application on Repeater Insertion. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Shizhong Mei, Chirayu S. Amin, Yehea I. Ismail Efficient model order reduction including skin effect. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF simulation, VLSI, model order reduction, skin effect, RLC
32Sunghoon Chun, YongJoon Kim, Sungho Kang 0001 MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RLC interconnect model, fault modeling, signal integrity, interconnect test
32Juan J. Alcaraz, Fernando Cerdán Combining ACK rate control and AQM to enhance TCP performance over 3G links. Search on Bibsonomy PM2HW2N The full citation details ... 2006 DBLP  DOI  BibTeX  RDF TCP over 3G links, radio link control (RLC)
32Andrew B. Kahng, Kei Masuko, Sudhakar Muddu Analytical delay models for VLSI interconnects under ramp input. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SPICE-computed delay, VLSI routing topologies layout, analytical delay models, arbitrary interconnect trees, interconnect transfer function, performance-driven synthesis, ramp input, source-sink delays, VLSI, Elmore delay, interconnect delays, VLSI interconnects, RLC interconnections
30Kaveh Shakeri, James D. Meindl Accelerated Modeling of Massively Coupled RLC Interconnects Using the Relative Inductance Extraction Method. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Peng-Yang Hung, Ying-Shu Lou, Yih-Lang Li Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Shield insertion, track routing, crosstalk optimization, global routing
30Taehoon Kim, Dongchul Kim, Jung-A Lee, Yungseon Eo Compact Models for Signal Transient and Crosstalk Noise of Coupled RLC Interconnect Lines with Ramp Inputs. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF inductance effect, signal transient, crosstalk, transmission lines
30Shanq-Jang Ruan, Shang-Fang Tsai, Yu-Ting Pai Design and Analysis of Low Power Dynamic Bus Based on RLC simulation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Guoqing Chen, Eby G. Friedman Low-power repeaters driving RC and RLC interconnects with delay and bandwidth constraints. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Junmou Zhang, Eby G. Friedman Crosstalk modeling for coupled RLC interconnects with application to shield insertion. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Yuichi Tanji, Takayuki Watanabe, Hidemasa Kubota, Hideki Asai Large scale RLC circuit analysis using RLCG-MNA formulation. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Trong-Yen Lee, Yang-Hsin Fan, Chia-Chun Tsai Reduction of RLC Tree Delay Using Bidirectional Buffer Repeater Insertion. Search on Bibsonomy ICICIC (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Magdy A. El-Moursy, Eby G. Friedman Optimum wire tapering for minimum power dissipation in RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30H. J. Kadim, Lacina M. Coulibaly Wave propagation based analytical model for distributed on-chip RLC interconnects. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
30Chia-Chun Tsai, Jan-Ou Wu, Chien-Wen Kao, Trong-Yen Lee, Rong-Shue Hsiao Coupling aware RLC-based clock routings for crosstalk minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 492 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license