The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SPECint95 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-1998 (18) 1999 (15) 2000-2001 (22) 2002-2004 (18) 2005-2007 (7)
Publication types (Num. hits)
article(10) inproceedings(70)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 94 occurrences of 68 keywords

Results
Found 80 publication records. Showing 80 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
135Jakob Engblom Why SpecInt95 Should Not Be Used to Benchmark Embedded Systems Tools. Search on Bibsonomy Workshop on Languages, Compilers, and Tools for Embedded Systems The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
51Nitzan Weinberg, David Nagle Dynamic Elimination of Pointer-Expressions. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pointer-expression, sphinx, sub-expression, SPECint95, memory address, performance analysis, compiler, locality, speech recognition, dynamic, microprocessor, mpeg, cache memory, microarchitecture, jpeg, value, spatial, memory bandwidth, data reuse, temporal, pointer, conditional execution
44David M. Brooks, Margaret Martonosi Value-based clock gating and operation packing: dynamic strategies for improving processor power and performance. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44David M. Brooks, Margaret Martonosi Dynamically Exploiting Narrow Width Operands to Improve Processor Power and Performance. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
30Sohum Sohoni, Rui Min, Zhiyong Xu, Yiming Hu A study of memory system performance of multimedia applications. Search on Bibsonomy SIGMETRICS/Performance The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Soner Önder, Rajiv Gupta 0001 Load and store reuse using register file contents. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Amarildo T. da Costa, Felipe M. G. França, Eliseu M. Chaves Filho The Dynamic Trace Memorization Reuse Technique. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
30Quinn Jacobson, James E. Smith 0001 Trace preconstruction. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Josep M. Codina, F. Jesús Sánchez, Antonio González 0001 Virtual Cluster Scheduling Through the Scheduling Graph. Search on Bibsonomy CGO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Youfeng Wu, Yong-Fong Lee Hardware-Software Collaborative Techniques for Runtime Profiling and Phase Transition Detection. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF runtime profiling, phase transition detection, hardware-software collaboration, dynamic optimizations
15Xin Lu, Yuzhuo Fu Reducing leakage power in instruction cache using WDC for embedded processors. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Masayo Haneda, Peter M. W. Knijnenburg, Harry A. G. Wijshoff Generating new general compiler optimization settings. Search on Bibsonomy ICS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF compiler tuning, compiler optimization, iterative compilation
15Veerle Desmet, Lieven Eeckhout, Koen De Bosschere Using Decision Trees to Improve Program-Based and Profile-Based Static Branch Prediction. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Masayo Haneda, Peter M. W. Knijnenburg, Harry A. G. Wijshoff Optimizing general purpose compiler optimization. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF back-end optimization, compiler switches, compiler tuning, statistical analysis
15Daniel Ortega, Mateo Valero, Eduard Ayguadé Dynamic Memory Instruction Bypassing. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory bypassing, Prefetching
15Ramon Canal, Antonio González 0001, James E. Smith 0001 Software-Controlled Operand-Gating. Search on Bibsonomy CGO The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Youfeng Wu, Yong-Fong Lee Exploiting Free Execution Slots on EPIC Processors for Efficient and Accurate Runtime Profiling. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Deniz Balkan, John Kalamatianos, David R. Kaeli A Study of Errant Pipeline Flushes Caused by Value Misspeculation. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Steven Swanson, Luke K. McDowell, Michael M. Swift, Susan J. Eggers, Henry M. Levy An evaluation of speculative instruction execution on simultaneous multithreaded processors. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, multithreading, Instruction-level parallelism, speculation, thread-level parallelism, simultaneous multithreading
15Chao-ying Fu, Jill T. Bodine, Thomas M. Conte Modeling Value Speculation: An Optimal Edge Selection Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimal edge selection, critical path reduction, Value prediction, data dependence graph, value speculation
15Mahesh Mamidipaka, Nikil D. Dutt On-chip Stack Based Memory Organization for Low Power Embedded Architectures. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Daniel Ortega, Eduard Ayguadé, Mateo Valero Dynamic memory instruction bypassing. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip memory management, superscalar processors
15Dan Nicolaescu, Alexander V. Veidenbaum, Alexandru Nicolau Reducing data cache energy consumption via cached load/store queue. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LSQ, load queue, store queue, low power, cache, memory, low energy, low latency
15Li-Ling Chen, Youfeng Wu Aggressive Compiler Optimization and Parallelization with Thread-Level Speculation. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF high-performance architecture and region formation, compiler optimizations, speculative execution, thread-level parallelism
15Martin Burtscher, Benjamin G. Zorn Hybrid Load-Value Predictors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value predictor, performance metrics, Value prediction, value locality, hybrid predictor
15Mary D. Brown, Yale N. Patt Using Internal Redundant Representations and Limited Bypass to Support Pipelined Adders and Register Files. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF redundant binary, limited bypass, pipelined register file, signed digit
15Huiyang Zhou, Thomas M. Conte Code Size Efficiency in Global Scheduling for ILP Processors. Search on Bibsonomy Interaction between Compilers and Computer Architectures The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Code Size Efficiency, I-cache Performance, Code Replication, Tail Duplication, Optimal Code Size Efficiency, Diminishing Returns, Quantitative Measure, Instruction Level Parallelism (ILP)
15George C. Necula, Scott McPeak, Westley Weimer CCured: type-safe retrofitting of legacy code. Search on Bibsonomy POPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Mark Probst, Andreas Krall, Bernhard Scholz Register Liveness Analysis for Optimizing Dynamic Binary Translation. Search on Bibsonomy WCRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Augustus K. Uht, Alireza Khalafi, David Morano, Marcos de Alba, David R. Kaeli Realizing High IPC Using Time-Tagged Resource-Flow Computing. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Juan L. Aragón, José González 0002, Antonio González 0001, James E. Smith 0001 Dual path instruction processing. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF branch misprediction penalty, dual path processing, pre-scheduling, confidence estimation
15Robert S. Chappell, Francis Tseng, Yale N. Patt, Adi Yoaz Difficult-Path Branch Prediction Using Subordinate Microthreads. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF high performance microprocessor, SSMT, microthread, branch prediction, microarchitecture, SMT, helper thread
15Lucian Codrescu, D. Scott Wills, James D. Meindl Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction
15Waleed Meleis, Alexandre E. Eichenberger, Ivan D. Baev Scheduling Superblocks with Bound-Based Branch Trade-Offs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ILP compiler technique, lower bound, scheduling heuristic, Superblock
15Chen-Yong Cher, T. N. Vijaykumar Skipper: a microarchitecture for exploiting control-flow independence. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Eduard Mehofer, Bernhard Scholz A Novel Probabilistic Data Flow Framework. Search on Bibsonomy CC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Chitaka Iwama, Niko Demus Barli, Shuichi Sakai, Hidehiko Tanaka Improving Conditional Branch Prediction on Speculative Multithreading Architectures. Search on Bibsonomy Euro-Par The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Vivek Sarkar, Mauricio J. Serrano, Barbara B. Simons Register-sensitive selection, duplication, and sequencing of instructions. Search on Bibsonomy ICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Seongmoo Heo, Ronny Krashinsky, Krste Asanovic Activity-Sensitive Flip-Flop and Latch Selection for Reduced Energy. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Youfeng Wu, Dong-yuan Chen, Jesse Fang Better exploration of region-level value locality with integrated computation reuse and value prediction. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
15Sang Jeong Lee, Pen-Chung Yew On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Sang Jeong Lee, Yuan Wang, Pen-Chung Yew Decoupled Value Prediction on Trace Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Wide-issue superscalar processors, Trace processors, Speculative execution, Value prediction
15Ramon Canal, Joan-Manuel Parcerisa, Antonio González 0001 Dynamic Cluster Assignment Mechanisms. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic code partitioning, steering logic, Clustered microarchitectures, dynamically scheduled processors
15Mihai Budiu, Majd F. Sakr, Kip Walker, Seth Copen Goldstein BitValue Inference: Detecting and Exploiting Narrow Bitwidth Computations. Search on Bibsonomy Euro-Par The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Vasanth Bala, Evelyn Duesterwald, Sanjeev Banerjia Dynamo: a transparent dynamic optimization system. Search on Bibsonomy PLDI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Martin Burtscher, Benjamin G. Zorn Hybridizing and Coalescing Load Value Predictors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Jun Yang 0002, Rajiv Gupta 0001 Load Redundancy Removal through Instruction Reuse. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15José-Lorenzo Cruz, Antonio González 0001, Mateo Valero, Nigel P. Topham Multiple-banked register file architectures. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF bypass logic, register file architecture, register file cache, dynamically-scheduled processor
15Ryan N. Rakvic, Bryan Black, John Paul Shen Completion time multiple branch prediction for enhancing trace cache performance. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Kevin Skadron, Pritpal S. Ahuja, Margaret Martonosi, Douglas W. Clark Branch Prediction, Instruction-Window Size, and Cache Size: Performance Trade-Offs and Simulation Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF instruction window size, register-update unit, simulation, cache, sampling, branch prediction, Microarchitecture, trade-offs, out-of-order execution
15Martin Burtscher, Benjamin G. Zorn Exploring Last n Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF predictor design, value prediction, processor performance, value locality, behavior prediction
15Lucian Codrescu, D. Scott Wills On Dynamic Speculative Thread Partitioning and the MEM-Slicing Algorithm. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Thread Partitioning, Multiscalar, Thread Speculation, Speculative Multithreading, Dynamic Partitioning
15Alexandre E. Eichenberger, Waleed Meleis Balance Scheduling: Weighting Branch Tradeoffs in Superblocks. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ILP compiler technique, weighted completion time, lower bound, scheduling heuristic, Superblock
15Mayan Moudgill, Pradip Bose, Jaime H. Moreno Validation of Turandot, a fast processor model for microarchitecture exploration. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jonathan Combs, Candice Bechem Combs, John Paul Shen Mispredicted Path Cache Effects. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kemal Ebcioglu, Erik R. Altman, Sumedh W. Sathaye, Michael Gschwind Execution-Based Scheduling for VLIW Architectures. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF INSTRUCTION-LEVEL PARALLELISM, SUPERSCALAR, BINARY TRANSLATION, DYNAMIC COMPILATION
15Alberto Ferreira de Souza, Peter Rounce Dynamically Scheduling the Trace Produced During Program Execution into VLIW Instructions. Search on Bibsonomy IPPS/SPDP The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Ryotaro Kobayashi, Yukihiro Ogawa, Hideki Ando, Toshio Shimada, Mitsuaki Iwata An On-Chip Multiprocessor Architecture with a Non-Blocking Synchronization Mechanism. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Lucian Codrescu, D. Scott Wills Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Ben-Chung Cheng, Wen-mei W. Hwu An Empirical Study of Function Pointers Using SPEC Benchmarks. Search on Bibsonomy LCPC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Robert S. Chappell, Jared Stark, Sangwook P. Kim, Steven K. Reinhardt, Yale N. Patt Simultaneous Subordinate Microthreading (SSMT). Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Bryan Black, Bohuslav Rychlik, John Paul Shen The Block-Based Trace Cache. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15A. V. S. Sastry, Roy Dz-Ching Ju A New Algorithm for Scalar Register Promotion based on SSA Form. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15S. Subramanya Sastry, Subbarao Palacharla, James E. Smith 0001 Exploiting Idle Floating-Point Resources for Integer Execution. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Fred C. Chow, Robert Kennedy, Shin-Ming Liu, Raymond Lo, Peng Tu Register Promotion by Partial Redundancy Elimination of Loads and Stores. Search on Bibsonomy PLDI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Mark R. Swanson, Leigh Stoller, John B. Carter Increasing TLB Reach Using Superpages Backed by Shadow Memory. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Eitan Federovsky, Meir Feder, Shlomo Weiss Branch Prediction Based on Universal Data Compression Algorithms. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Artur Klauser, Abhijit Paithankar, Dirk Grunwald Selective Eager Execution on the PolyPath Architecture. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Toni Juan, Sanji Sanjeevan, Juan J. Navarro Dynamic History-length Fitting: A Third Level of Adaptivity for Branch Prediction. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Jared Stark, Marius Evers, Yale N. Patt Variable Length Path Branch Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
15Eric Rotenberg, Quinn Jacobson, Yiannakis Sazeides, James E. Smith 0001 Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF trace processors, multiscalar processors, next trace prediction, selective reissuing, context-based value prediction, trace cache
15Daniel H. Friendly, Sanjay J. Patel, Yale N. Patt Alternative Fetch and Issue Policies for the Trace Cache Fetch Mechanism. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF high bandwidth fetch mechanisms, wide issue machines, inactive issue, speculative execution, trace cache, partial matching
15Jonathan Babb, Matthew I. Frank, Victor Lee, Elliot Waingold, Rajeev Barua, Michael B. Taylor, Jang Kim, Devabhaktuni Srikrishna, Anant Agarwal The RAW benchmark suite: computation structures for general purpose computing. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Andrew Ayers, Robert Gottlieb, Richard Schooler Aggressive Inlining. Search on Bibsonomy PLDI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Eric Sprangle, Robert S. Chappell, Mitch Alsup, Yale N. Patt The Agree Predictor: A Mechanism for Reducing Negative Branch History Interference. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF two-level branch prediction, branch prediction, speculative execution, superscalar
15Ravi Nair, Martin E. Hopkins Exploiting Instruction Level Parallelism in Processors by Caching Scheduled Groups. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Po-Yung Chang, Eric Hao, Yale N. Patt Target Prediction for Indirect Jumps. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
15Eric Hao, Po-Yung Chang, Marius Evers, Yale N. Patt Increasing the Instruction Fetch Rate via Block-structured Instruction Set Architectures. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #80 of 80 (100 per page; Change: )
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license