|
|
Venues (Conferences, Journals, ...)
|
|
GrowBag graphs for keyword ? (Num. hits/coverage)
Group by:
The graphs summarize 175 occurrences of 102 keywords
|
|
|
Results
Found 344 publication records. Showing 344 according to the selection in the facets
Hits ?▲ |
Authors |
Title |
Venue |
Year |
Link |
Author keywords |
204 | Wei Chen 0009, Rui Gong, Kui Dai, Fang Liu 0002, Zhiying Wang 0003 |
Two New Space-Time Triple Modular Redundancy Techniques for Improving Fault Tolerance of Computer Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CIT ![In: Sixth International Conference on Computer and Information Technology (CIT 2006), 20-22 September 2006, Seoul, Korea, pp. 175, 2006, IEEE Computer Society, 0-7695-2687-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
169 | Yoshihiro Ichinomiya, Shiro Tanoue, Tomoyuki Ishida, Motoki Amagasaki, Morihiro Kuga, Toshinori Sueyoshi |
Memory Sharing Approach for TMR Softcore Processor. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 5th International Workshop, ARC 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings, pp. 268-274, 2009, Springer, 978-3-642-00640-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
|
111 | Subhasish Mitra, Edward J. McCluskey |
Word Voter: A New Voter Design for Triple Modular Redundant Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 18th IEEE VLSI Test Symposium (VTS 2000), 30 April - 4 May 2000, Montreal, Canada, pp. 465-470, 2000, IEEE Computer Society, 0-7695-0613-5. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
Word-Voter, TMR-Simplex, data integrity, Triple Modular redundancy (TMR), Voter |
101 | Hagbae Kim, Kang G. Shin |
Design and Analysis of an Optimal Instruction-Retry Policy for TMR Controller Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(11), pp. 1217-1225, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
|
88 | Michele Favalli, Cecilia Metra |
TMR voting in the presence of crosstalk faults at the voter inputs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Reliab. ![In: IEEE Trans. Reliab. 53(3), pp. 342-348, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
88 | E. N. Elnozahy, Rami G. Melhem, Daniel Mossé |
Energy-Efficient Duplex and TMR Real-Time Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RTSS ![In: Proceedings of the 23rd IEEE Real-Time Systems Symposium (RTSS'02), Austin, Texas, USA, December 3-5, 2002, pp. 256-266, 2002, IEEE Computer Society, 0-7695-1851-6. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
|
82 | Sheida Nabavi, B. V. K. Vijaya Kumar, James A. Bain |
Mitigating the Effects of Track Mis-Registration in Bit-Patterned Media. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICC ![In: Proceedings of IEEE International Conference on Communications, ICC 2008, Beijing, China, 19-23 May 2008, pp. 2061-2065, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
82 | Fernanda Lima Kastensmidt, Luca Sterpone, Luigi Carro, Matteo Sonza Reorda |
On the Optimal Design of Triple Modular Redundancy Logic for SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DATE ![In: 2005 Design, Automation and Test in Europe Conference and Exposition (DATE 2005), 7-11 March 2005, Munich, Germany, pp. 1290-1295, 2005, IEEE Computer Society, 0-7695-2288-2. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
82 | Stephen Helmreich, David Farwell |
Text Meaning Representation as a Basis for Representation of Text Interpretation. ![Search on Bibsonomy](Pics/bibsonomy.png) |
AMTA ![In: Envisioning Machine Translation in the Information Future, 4th Conference of the Association for Machine Translation in the Americas, AMTA 2000, Cuernavaca, Mexico, October 10-14, 2000, Proceedings, pp. 179-188, 2000, Springer, 3-540-41117-8. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
74 | Roman Obermaisser, Hubert Kraut, Christian El Salloum |
A Transient-Resilient System-on-a-Chip Architecture with Support for On-Chip and Off-Chip TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
EDCC ![In: Seventh European Dependable Computing Conference, EDCC-7 2008, Kaunas, Lithuania, 7-9 May 2008, pp. 123-134, 2008, IEEE Computer Society, 978-0-7695-3138-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
74 | Julien Vial, Alberto Bosio, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel |
Using TMR Architectures for Yield Improvement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 23rd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2008), 1-3 October 2008, Boston, MA, USA, pp. 7-15, 2008, IEEE Computer Society, 978-0-7695-3365-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
68 | José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra |
Self-Checking Voter for High Speed TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 21(4), pp. 377-389, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
high reliabily, duplication and comparison, self-checking, voter, TMR systems |
68 | Paul D. Ezhilchelvan, Francisco V. Brasileiro, Neil A. Speirs |
A Timeout-Based Message Ordering Protocol for a Lightweight Software Implementation of TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 15(1), pp. 53-65, 2004. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
process replication, physical and logical clocks, fault tolerance, agreement, Byzantine failures, Triple Modular Redundancy (TMR), message ordering |
68 | W. Lynn Gallagher, Earl E. Swartzlander Jr. |
Error-Correcting Goldschmidt Dividers Using Time Shared TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 13th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '98), 2-4 November 1998, Austin, TX, USA, Proceedings, pp. 224-232, 1998, IEEE Computer Society, 0-8186-8832-7. The full citation details ...](Pics/full.jpeg) |
1998 |
DBLP DOI BibTeX RDF |
Goldschmidt's algorithm, time shared TMR, TSTMR fault tolerance, fault tolerant arithmetic, division |
68 | Paul D. Ezhilchelvan, Isi Mitrani, Santosh K. Shrivastava |
A Performance Evaluation Study of Pipeline TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 1(4), pp. 442-456, 1990. The full citation details ...](Pics/full.jpeg) |
1990 |
DBLP DOI BibTeX RDF |
pipeline TMR systems, concurrent replicated processing, processor failure rates, performance evaluation, performance evaluation, distributed system, distributed processing, redundancy, computer simulations, majority voting, triple modular redundant |
68 | Chun-Yuan Lin, Yeh-Ching Chung, Jen-Shiuh Liu |
Efficient Data Distribution Schemes for EKMR-Based Sparse Arrays on Distributed Memory Multicomputers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Supercomput. ![In: J. Supercomput. 34(3), pp. 291-313, 2005. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
data distribution schemes, data compression methods, sparse ratio, partition methods, Karnaugh map |
68 | Tongquan Wei, Kaijie Wu 0001, Ramesh Karri, Alex Orailoglu |
Fault tolerant quantum cellular array (QCA) design using Triple Modular Redundancy with shifted operands. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ASP-DAC ![In: Proceedings of the 2005 Conference on Asia South Pacific Design Automation, ASP-DAC 2005, Shanghai, China, January 18-21, 2005, pp. 1192-1195, 2005, ACM Press, 0-7803-8737-6. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
64 | W. Lynn Gallagher, Earl E. Swartzlander Jr. |
Power Consumption in Fast Dividers Using Time Shared TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings, pp. 256-264, 1999, IEEE Computer Society, 0-7695-0325-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
Goldschmidt, time shared TMR, fault tolerant arithmetic, fault tolerance, division, TMR, Newton-Raphson |
63 | Jonathan M. Johnson, Michael J. Wirthlin |
Voter insertion algorithms for FPGA designs using triple modular redundancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 18th International Symposium on Field Programmable Gate Arrays, FPGA 2010, Monterey, California, USA, February 21-23, 2010, pp. 249-258, 2010, ACM, 978-1-60558-911-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
scc, tmr, voter insertion, fpga, algorithm, reliability, synchronization |
63 | Conrado Pilotto, José Rodrigo Azambuja, Fernanda Lima Kastensmidt |
Synchronizing triple modular redundant designs in dynamic partial reconfiguration applications. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 199-204, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
fault tolerance, FPGA, TMR, dynamic partial reconfiguration |
63 | Tat Ngai, Earl E. Swartzlander Jr., Chen He |
Enhanced Concurrent Error Correcting Arithmetic Unit Design Using Alternating Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 16th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings, pp. 78-83, 2001, IEEE Computer Society, 0-7695-1203-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
Alternating Logic, Fault Tolerance, TMR, Concurrent Error Correcting, Arithmetic Unit |
61 | Paul D. Ezhilchelvan, Jean-Michel Hélary, Michel Raynal |
Building Responsive TMR-Based Servers in Presence of Timing Constraints. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISORC ![In: Eighth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing (ISORC 2005), 18-20 May 2005, Seattle, WA, USA, pp. 267-274, 2005, IEEE Computer Society, 0-7695-2356-0. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
|
61 | Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro |
Going beyond TMR for protection against multiple faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 18th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2005, Florianolpolis, Brazil, September 4-7, 2005, pp. 80-85, 2005, ACM. The full citation details ...](Pics/full.jpeg) |
2005 |
DBLP DOI BibTeX RDF |
future technologies, simultaneous transient faults, fault tolerance, design techniques |
61 | Dakai Zhu 0001, Rami G. Melhem, Daniel Mossé, Elmootazbellah (Mootaz) Elnozahy |
Analysis of an Energy Efficient Optimistic TMR Scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPADS ![In: 10th International Conference on Parallel and Distributed Systems, ICPADS 2004, Newport Beach, CA, USA, July 7-9, 2004, pp. 559-568, 2004, IEEE Computer Society, 0-7695-2152-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
61 | Frank M. Pittelli, Hector Garcia-Molina |
Reliable Scheduling in a TMR Database System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Trans. Comput. Syst. ![In: ACM Trans. Comput. Syst. 7(1), pp. 25-60, 1989. The full citation details ...](Pics/full.jpeg) |
1989 |
DBLP DOI BibTeX RDF |
|
56 | Hao Fu, Ming Cai, Liu Fang, Peng Liu 0027, Jinxiang Dong |
Research on RTOS-Integrated TMR for Fault Tolerant Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SNPD (3) ![In: Proceedings of the 8th ACIS International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, SNPD 2007, July 30 - August 1, 2007, Qingdao, China, pp. 750-755, 2007, IEEE Computer Society, 0-7695-2909-7. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fault-tolerant, reliability, TMR, Software Upgrade |
55 | W. Lynn Gallagher, Earl E. Swartzlander Jr. |
Fault-Tolerant Newton-Raphson and Goldschmidt Dividers Using Time Shared TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 49(6), pp. 588-595, 2000. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
fault-tolerant arithmetic, Newton-Raphson division, Goldschmidt division, time shared TMR, Division |
55 | Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra |
Transient and Permanent Fault Diagnosis for FPGA-Based TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 14th International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT '99), November 1-3, 1999, Albuquerque, NM, USA, Proceedings, pp. 330-338, 1999, IEEE Computer Society, 0-7695-0325-X. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
transient fault detection, Field Programmable Gate Array, TMR systems |
54 | Ahmad Patooghy, Seyed Ghassem Miremadi, A. Javadtalab, Mahdi Fazeli, Navid Farazmand |
A Solution to Single Point of Failure Using Voter Replication and Disagreement Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DASC ![In: Second International Symposium on Dependable Autonomic and Secure Computing (DASC 2006), 29 September - 1 October 2006, Indianapolis, Indiana, USA, pp. 171-176, 2006, IEEE Computer Society, 0-7695-2539-3. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
54 | Chun-Yuan Lin, Yeh-Ching Chung, Jen-Shiuh Liu |
Performance Evaluation of Data Distributions with Load-Balancing for Sparse Arrays. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 7th International Symposium on Parallel Architectures, Algorithms, and Networks (I-SPAN 2004), 10-12 May 2004, Hong Kong, SAR, China, pp. 207-213, 2004, IEEE Computer Society, 0-7695-2135-5. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
54 | Chun-Yuan Lin, Yeh-Ching Chung, Jen-Shiuh Liu |
Efficient Data Parallel Algorithms for Multidimensional Array Operations Based on the EKMR Scheme for Distributed Memory Multicomputers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Parallel Distributed Syst. ![In: IEEE Trans. Parallel Distributed Syst. 14(7), pp. 625-639, 2003. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
data distribution, multidimensional array, Data parallel algorithm, array operation, Karnaugh map |
53 | Paulo Barthelmess, Edward C. Kaiser (eds.) |
Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007 ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![ACM, 978-1-59593-870-1 The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Svenja Kahn, Tobias Klug, Felix Flentge |
Modeling temporal dependencies between observed activities. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 27-34, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Nadia Mana, Bruno Lepri, Paul Chippendale, Alessandro Cappelletti, Fabio Pianesi, Piergiorgio Svaizer, Massimo Zancanaro |
Multimodal corpus of multi-party meetings for automatic social behavior analysis and personality traits detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 9-14, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Elisa Rubegni, Jevon Brunk, Maurizio Caporali, Antonio Rizzo |
Wi-roni: a gesture tangible interface for experiencing internet content in public spaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 15-22, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Hisao Setoguchi, Katsuya Takanashi, Tatsuya Kawahara |
Multi-modal conversational analysis of poster presentations using multiple sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 43-46, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Martin Kurze |
Personalization in multimodal interfaces. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 23-26, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Edward C. Kaiser |
Cross-domain matching for automatic tag extraction across redundant handwriting and speech events. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 55-62, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Koji Kamei, Yutaka Yanagisawa, Takuya Maekawa, Yasue Kishino, Yasushi Sakurai, Takeshi Okadome |
Tagging strategies for extracting real-world events with networked sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 35-42, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Rutger Rienks, Anton Nijholt, Dirk Heylen |
Verbal behavior of the more and the less influential meeting participant. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 1-8, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
53 | Kharsim Yousef, Eamonn O'Neill |
Sunrise: towards location based clustering for assisted photo management. ![Search on Bibsonomy](Pics/bibsonomy.png) |
TMR@ICMI ![In: Proceedings of the 2007 workshop on Tagging, mining and retrieval of human related activity information, TMR@ICMI '07, Nagoya, Japan, November 15, 2007, pp. 47-54, 2007, ACM, 978-1-59593-870-1. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
50 | Naghmeh Karimi, Shahrzad Mirkhani, Zainalabedin Navabi, Fabrizio Lombardi |
RT level reliability enhancement by constructing dynamic TMRS. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 17th ACM Great Lakes Symposium on VLSI 2007, Stresa, Lago Maggiore, Italy, March 11-13, 2007, pp. 172-175, 2007, ACM, 978-1-59593-605-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
fault tolerant, reliability, TMR, RTL design |
49 | Cecilia Metra, Michele Favalli, Bruno Riccò |
Compact and low power on-line self-testing voting scheme. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 137-147, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
on-line self-testing voting scheme, fault-tolerant techniques, reliability, power consumption, majority voting, triple modular redundancy, area overhead, majority logic, TMR systems |
47 | Akihiro Hirosaki, Masatomo Miura, Atsushi Matsumoto, Takahiro Hanyu |
Vth-Variation Compensation of Multiple-Valued Current-Mode Circuit Using TMR Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 38th IEEE International Symposium on Multiple-Valued Logic (ISMVL 2008), 22-23 May 2008, Dallas, Texas, USA, pp. 14-19, 2008, IEEE Computer Society, 978-0-7695-3155-7. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
differential-pair circuit, radix-2 signed-digit adder, reliability |
47 | Michael J. Wirthlin |
Improving the reliability of FPGA circuits using triple-modular redundancy (TMR) & efficient voter placement. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays, FPGA 2004, Monterey, California, USA, February 22-24, 2004, pp. 252, 2004, ACM, 1-58113-829-6. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
47 | Monica Alderighi, Sergio D'Angelo, Giacomo R. Sechi, Cecilia Metra |
Achieving Fault-Tolerance by Shifted and Rotated Operands in TMR Non-Diverse ALUs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 15th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2000), 25-27 October 2000, Yamanashi, Japan, Proceedings, pp. 155-163, 2000, IEEE Computer Society, 0-7695-0719-0. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
47 | John C. Ramirez, Rami G. Melhem |
Reducing Message Overhead in TMR Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICDCS ![In: Proceedings of the 19th International Conference on Distributed Computing Systems, Austin, TX, USA, May 31 - June 4, 1999, pp. 45-54, 1999, IEEE Computer Society, 0-7695-0222-9. The full citation details ...](Pics/full.jpeg) |
1999 |
DBLP DOI BibTeX RDF |
reduced communication overhead, distributed systems, voting, triple modular redundancy, fault masking |
47 | W. Lynn Gallagher, Earl E. Swartzlander Jr. |
Fast Error-Correcting Newton-Raphson Dividers Using Time Shared TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 1997 Workshop on Defect and Fault-Tolerance in VLSI Systems (DFT '97), 20-22 October 1997, Paris, France, pp. 243-251, 1997, IEEE Computer Society, 0-8186-8168-3. The full citation details ...](Pics/full.jpeg) |
1997 |
DBLP DOI BibTeX RDF |
|
42 | Armando Astarloa, Jesús Lázaro 0001, Unai Bidarte, Aitzol Zuloaga, Jaime Jimenez |
PCIREX: A Fast Prototyping Platform for TMR Dynamically Reconfigurable Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ReConFig ![In: ReConFig'09: 2009 International Conference on Reconfigurable Computing and FPGAs, Cancun, Quintana Roo, Mexico, 9-11 December 2009, Proceedings, pp. 54-58, 2009, IEEE Computer Society, 978-0-7695-3917-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
Fault Tolerance, FPGA, Dynamic Reconfiguration, Partial Reconfiguration, TMR, Self-repairing |
41 | Hagbae Kim, Kang G. Shin |
Sequencing Tasks to Minimize the Effects of Near-Coincident Faults in TMR Controller Computers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 45(11), pp. 1331-1337, 1996. The full citation details ...](Pics/full.jpeg) |
1996 |
DBLP DOI BibTeX RDF |
TMR failure, common-cause and independent faults, random and effective sequencing of tasks, Task Interval (TI), task distance, conventional |
41 | Kang G. Shin, Hagbae Kim |
A Time Redundancy Approach to TMR Failures Using Fault-State Likelihoods. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 43(10), pp. 1151-1162, 1994. The full citation details ...](Pics/full.jpeg) |
1994 |
DBLP DOI BibTeX RDF |
time redundancy approach, TMR failures, fault-state likelihoods, processing modules, disagreement detector, system reconfiguration, adaptive recovery method, fault tolerant computing, redundancy, digital simulation, simulation results, Bayes methods, voters, triple modular redundant system, Bayes theorem |
41 | Luca Sterpone |
Timing Driven Placement for Fault Tolerant Circuits Implemented on SRAM-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ARC ![In: Reconfigurable Computing: Architectures, Tools and Applications, 5th International Workshop, ARC 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings, pp. 85-96, 2009, Springer, 978-3-642-00640-1. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
fault tolerance, FPGA, Single Event Upset, Triple Modular Redundancy, Timing-driven Placement |
41 | Lorenzo Petroli, Carlos Arthur Lang Lisbôa, Fernanda Lima Kastensmidt, Luigi Carro |
Majority Logic Mapping for Soft Error Dependability. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 83-92, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Majority gates, Fault tolerance, SET, Majority logic |
41 | Hao Fu, Ming Cai, Wenmin Zhu, Zhongdong Huang, Jinxiang Dong |
Research on Fault Tolerance in Hybrid P2P-based Collaborative Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CSCWD ![In: Proceedings of the 11th International Conference on Computer Supported Cooperative Work in Design, CSCWD 2007, April 26-28, 2007, Melbourne, Australia, pp. 30-35, 2007, IEEE. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
41 | Luca Sterpone, Massimo Violante |
A New Reliability-Oriented Place and Route Algorithm for SRAM-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 55(6), pp. 732-744, 2006. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
transient fault injection, FPGA, reliability, place and route |
41 | Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 |
Modified Triple Modular Redundancy Structure based on Asynchronous Circuit Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 184-196, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Hayssam El-Razouk, Zine Abid |
A New Transistor-Redundant Voter for Defect-Tolerant Digital Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CCECE ![In: Proceedings of the Canadian Conference on Electrical and Computer Engineering, CCECE 2006, May 7-10, 2006, Ottawa Congress Centre, Ottawa, Canada, pp. 1078-1081, 2006, IEEE, 1-4244-0038-4. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
41 | Radek Dobias, Hana Kubátová |
FPGA Based Design of the Railway's Interlocking Equipments. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: 2004 Euromicro Symposium on Digital Systems Design (DSD 2004), Architectures, Methods and Tools, 31 August - 3 September 2004, Rennes, France, pp. 467-473, 2004, IEEE Computer Society, 0-7695-2203-3. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
41 | Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis |
Reducing pin and area overhead in fault-tolerant FPGA-based designs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
FPGA ![In: Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA 2003, Monterey, CA, USA, February 23-25, 2003, pp. 108-117, 2003, ACM, 1-58113-651-X. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fault-tolerance, FPGA |
41 | Taro Nagahama, Shinji Yuasa, Yoshishige Suzuki |
Quantum Size Effect in Magnetic Tunnel Junctions with Single-Crystal Ultrathin Electrodes. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICMENS ![In: 2003 International Conference on MEMS, NANO, and Smart Systems (ICMENS 2003), 20-23 July 2003, Banff, Alberta, Canada, pp. 316-320, 2003, IEEE Computer Society, 0-7695-1947-4. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
41 | Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis |
Designing fault tolerant systems into SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DAC ![In: Proceedings of the 40th Design Automation Conference, DAC 2003, Anaheim, CA, USA, June 2-6, 2003, pp. 650-655, 2003, ACM, 1-58113-688-9. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
fault-tolerance, FPGA |
41 | Jen-Shiuh Liu, Jiun-Yuan Lin, Yeh-Ching Chung |
Efficient Parallel Algorithms for Multi-Dimensional Matrix Operations? ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISPAN ![In: 5th International Symposium on Parallel Architectures, Algorithms, and Networks (I-SPAN 2000), 7-10 December 2000, Dallas / Richardson, TX, USA, pp. 224-231, 2000, IEEE Computer Society, 0-7695-0936-3. The full citation details ...](Pics/full.jpeg) |
2000 |
DBLP DOI BibTeX RDF |
|
39 | Chao Wang 0019, Song Ye, Xiaokang Zhang, Xiao Liang, Jiamin Ye |
A Solution to TMR-EMT Blind Spots Based on Biaxial TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Instrum. Meas. ![In: IEEE Trans. Instrum. Meas. 72, pp. 1-10, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
39 | Kazuhito Ito |
Energy Minimization of Full TMR Design with Optimized Selection of Temporal/Spatial TMR Mode and Supply Voltage. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEICE Trans. Fundam. Electron. Commun. Comput. Sci. ![In: IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 97-A(12), pp. 2530-2539, 2014. The full citation details ...](Pics/full.jpeg) |
2014 |
DBLP DOI BibTeX RDF |
|
36 | Manoj Singh Gaur, Raghavendra Narasimhan, Vijay Laxmi, Ujjwal Kumar |
Structural Fault Modelling in Nano Devices. ![Search on Bibsonomy](Pics/bibsonomy.png) |
NanoNet ![In: Nano-Net - Third International ICST Conference, NanoNet 2008, Boston, MA, USA, September 14-16, 2008, Revised Selected Papers, pp. 6-10, 2008, Springer, 978-3-642-02426-9. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Structural fault, stuck-at-0, stuck-at-1, MRF, bridge, TMR |
36 | Debayan Bhaduri, Sandeep K. Shukla |
NANOPRISM: a tool for evaluating granularity vs. reliability trade-offs in nano architectures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 14th ACM Great Lakes Symposium on VLSI 2004, Boston, MA, USA, April 26-28, 2004, pp. 109-112, 2004, ACM, 1-58113-853-9. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
CTMR, defect-tolerant architecture, nanotechnology, granularity, TMR, PRISM |
33 | Peng Lu, Zhao Lu, Zili Zhou, Junzhong Gu |
An Optimized Natural Language Watermarking Algorithm based on TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICYCS ![In: Proceedings of the 9th International Conference for Young Computer Scientists, ICYCS 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008, pp. 1459-1463, 2008, IEEE Computer Society, 978-0-7695-3398-8. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
33 | Masashi Hamamatsu, Tatsuhiro Tsuchiya, Tohru Kikuno |
Finding the Optimal Configuration of a Cascading TMR System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
PRDC ![In: 14th IEEE Pacific Rim International Symposium on Dependable Computing, PRDC 2008, 15-17 December 2008, Taipei, Taiwan, pp. 349-350, 2008, IEEE Computer Society, 978-0-7695-3448-0. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Xin He, Afshin Abdollahi |
Cost aware fault tolerant logic synthesis in presence of soft errors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ACM Great Lakes Symposium on VLSI ![In: Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010, pp. 151-154, 2010, ACM, 978-1-4503-0012-4. The full citation details ...](Pics/full.jpeg) |
2010 |
DBLP DOI BibTeX RDF |
soft error rate, reliability, linear programming |
27 | Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz |
Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 22st Annual Symposium on Integrated Circuits and Systems Design: Chip on the Dunes, SBCCI 2009, Natal, Brazil, August 31 - September 3, 2009, 2009, ACM, 978-1-60558-705-9. The full citation details ...](Pics/full.jpeg) |
2009 |
DBLP DOI BibTeX RDF |
reconfigurable router, fault tolerance, reliability, network-on-chip, NoC |
27 | Lei Fang 0002, Michael S. Hsiao |
Bilateral Testing of Nano-scale Fault-Tolerant Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 285-296, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
Bilateral fault model, Fault-tolerant, ATPG, Nanoelectronics |
27 | Rui Gong, Wei Chen 0009, Fang Liu 0002, Kui Dai, Zhiying Wang 0003 |
A New Approach to Single Event Effect Tolerance Based on Asynchronous Circuit Technique. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 24(1-3), pp. 57-65, 2008. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
SEE tolerance, SEU tolerance, SET tolerance, Asynchronous circuit |
27 | Helano Castro, Alexandre Augusto Coelho, Ricardo Jardel Silveira |
Fault-tolerance in FPGA's through CRC voting. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 21st Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2008, Gramado, Brazil, September 1-4, 2008, pp. 188-192, 2008, ACM, 978-1-60558-231-3. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
cyclic redundancy check, fault tolerance, FPGA, partial reconfiguration |
27 | Mojtaba Amiri-Kamalabad, Seyed Ghassem Miremadi, Mahdi Fazeli |
A Power Efficient Approach to Fault-Tolerant Register File Design. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VLSI Design ![In: 21st International Conference on VLSI Design (VLSI Design 2008), 4-8 January 2008, Hyderabad, India, pp. 21-26, 2008, IEEE Computer Society, 0-7695-3083-4. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Nasser Mohamad Zadeh, Ramin Amirifar |
H and Mixed H2/H Control of Dual-Actuator Hard Disk Drive via LMIs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
RAM ![In: 2008 IEEE Conference on Robotics, Automation and Mechatronics, RAM 2008, 21-24 September 2008, Chengdu, China, pp. 61-65, 2008, IEEE. The full citation details ...](Pics/full.jpeg) |
2008 |
DBLP DOI BibTeX RDF |
|
27 | Eze Kamanu, Pratapa Reddy, Kenneth Hsu, Marcin Lukowiak |
A New Architecture for Single-Event Detection & Reconfiguration of SRAM-based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
HASE ![In: Tenth IEEE International Symposium on High Assurance Systems Engineering (HASE 2007), November 14-16, 2007, Dallas, Texas, USA, pp. 291-298, 2007, IEEE Computer Society, 0-7695-3043-5. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Mahdi Fazeli, Ahmad Patooghy, Seyed Ghassem Miremadi, Alireza Ejlali |
Feedback Redundancy: A Power Efficient SEU-Tolerant Latch Design for Deep Sub-Micron Technologies. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSN ![In: The 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, DSN 2007, 25-28 June 2007, Edinburgh, UK, Proceedings, pp. 276-285, 2007, IEEE Computer Society, 0-7695-2855-4. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Christian J. Hescott, Drew C. Ness, David J. Lilja |
Scaling Analytical Models for Soft Error Rate Estimation Under a Multiple-Fault Environment. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DSD ![In: Tenth Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD 2007), 29-31 August 2007, Lübeck, Germany, pp. 641-648, 2007, IEEE Computer Society, 0-7695-2978-X. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Lorenzo Petroli, Carlos Arthur Lang Lisbôa, Fernanda Lima Kastensmidt, Luigi Carro |
Using majority logic to cope with long duration transient faults. ![Search on Bibsonomy](Pics/bibsonomy.png) |
SBCCI ![In: Proceedings of the 20th Annual Symposium on Integrated Circuits and Systems Design, SBCCI 2007, Copacabana, Rio de Janeiro, Brazil, September 3-6, 2007, pp. 354-359, 2007, ACM, 978-1-59593-816-9. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
long duration transient faults, majority logic |
27 | Manuel G. Gericota, Luís F. Lemos, Gustavo R. Alves, José M. Ferreira 0001 |
On-Line Self-Healing of Circuits Implemented on Reconfigurable FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 13th IEEE International On-Line Testing Symposium (IOLTS 2007), 8-11 July 2007, Heraklion, Crete, Greece, pp. 217-222, 2007, IEEE Computer Society, 0-7695-2918-6. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
|
27 | Álisson Michels, Lorenzo Petroli, Carlos Arthur Lang Lisbôa, Fernanda Gusmão de Lima Kastensmidt, Luigi Carro |
SET Fault Tolerant Combinational Circuits Based on Majority Logic. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 345-352, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Lei Fang 0002, Michael S. Hsiao |
Bilateral Testing of Nano-scale Fault-tolerant Circuits. ![Search on Bibsonomy](Pics/bibsonomy.png) |
DFT ![In: 21th IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems (DFT 2006), 4-6 October 2006, Arlington, Virginia, USA, pp. 309-317, 2006, IEEE Computer Society, 0-7695-2706-X. The full citation details ...](Pics/full.jpeg) |
2006 |
DBLP DOI BibTeX RDF |
|
27 | Jie Han 0001, Pieter Jonker |
From Massively Parallel Image Processors to Fault-Tolerant Nanocomputers. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ICPR (3) ![In: 17th International Conference on Pattern Recognition, ICPR 2004, Cambridge, UK, August 23-26, 2004., pp. 2-7, 2004, IEEE Computer Society, 0-7695-2128-2. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Paolo Bernardi, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante |
On the Evaluation of SEU Sensitiveness in SRAM-Based FPGAs. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 12-14 July 2004, Funchal, Madeira Island, Portugal, pp. 115-120, 2004, IEEE Computer Society, 0-7695-2180-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | José Manuel Cazeaux, Daniele Rossi 0001, Cecilia Metra |
New High Speed CMOS Self-Checking Voter. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IOLTS ![In: 10th IEEE International On-Line Testing Symposium (IOLTS 2004), 12-14 July 2004, Funchal, Madeira Island, Portugal, pp. 58-66, 2004, IEEE Computer Society, 0-7695-2180-0. The full citation details ...](Pics/full.jpeg) |
2004 |
DBLP DOI BibTeX RDF |
|
27 | Tetsuya Uemura, Masafumi Yamamoto |
Proposal of Four-Valued MRAM based on MTJ/RTD Structure. ![Search on Bibsonomy](Pics/bibsonomy.png) |
ISMVL ![In: 33rd IEEE International Symposium on Multiple-Valued Logic (ISMVL 2003), 16-19 May 2003, Tokyo, Japan, pp. 273-280, 2003, IEEE Computer Society, 0-7695-1918-0. The full citation details ...](Pics/full.jpeg) |
2003 |
DBLP DOI BibTeX RDF |
|
27 | Chun-Yuan Lin, Jen-Shiuh Liu, Yeh-Ching Chung |
Efficient Representation Scheme for Multidimensional Array Operations. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 51(3), pp. 327-345, 2002. The full citation details ...](Pics/full.jpeg) |
2002 |
DBLP DOI BibTeX RDF |
extended Karnaugh map representation, traditional matrix representation, data structure, multidimensional arrays, array operations |
27 | Subhasish Mitra, Edward J. McCluskey |
Design of Redundant Systems Protected Against Common-Mode Failures. ![Search on Bibsonomy](Pics/bibsonomy.png) |
VTS ![In: 19th IEEE VLSI Test Symposium (VTS 2001), Test and Diagnosis in a Nanometric World, 29 April - 3 May 2001, Marina Del Rey, CA, USA, pp. 190-197, 2001, IEEE Computer Society, 0-7695-1122-8. The full citation details ...](Pics/full.jpeg) |
2001 |
DBLP DOI BibTeX RDF |
|
23 | Sanjukta Bhanja, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli |
QCA Circuits for Robust Coplanar Crossing. ![Search on Bibsonomy](Pics/bibsonomy.png) |
J. Electron. Test. ![In: J. Electron. Test. 23(2-3), pp. 193-210, 2007. The full citation details ...](Pics/full.jpeg) |
2007 |
DBLP DOI BibTeX RDF |
coplanar crossing, temperature characterization, defect characterization, Bayesian network, QCA, TMR |
22 | Daniel Davies, John F. Wakerly |
Synchronization and Matching in Redundant Systems. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 27(6), pp. 531-539, 1978. The full citation details ...](Pics/full.jpeg) |
1978 |
DBLP DOI BibTeX RDF |
N-modular redundancy (NMR), signal selection, synchronization, fault-tolerant computing, clocks, triple modular redundancy (TMR), microcomputers, Asynchronous networks, voters |
22 | Daniel P. Siewiorek |
Reliability Modeling of Compensating Module Failures in Majority Voted Redundancy. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Computers ![In: IEEE Trans. Computers 24(5), pp. 525-533, 1975. The full citation details ...](Pics/full.jpeg) |
1975 |
DBLP DOI BibTeX RDF |
Compensating module failures, mission time improvement, triple modular redundancy (TMR), fault equivalence, fault dominance |
20 | João Silva, Diogo Miguel Caetano, Taimur Gibran Rabuske, Susana Cardoso, Moisés Piedade, Jorge R. Fernandes |
Integrated Circuit for Magnetic Encoder Sensing in TMR-Based Industrial Positioning System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Trans. Ind. Electron. ![In: IEEE Trans. Ind. Electron. 71(8), pp. 9904-9913, August 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Nattapong Hatchavanich, Anawach Sangswang, Supapong Nutwong, Mongkol Konghirun |
Bifurcation Identification From Magnetic Flux Distribution by Using TMR Sensor-Based Wireless Power Transfer System. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 12, pp. 53178-53188, 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Huafeng Su, Haojun Li, Weihao Liang, Chaolan Shen, Zheng Xu 0007 |
Non-Contact Current Measurement for Three-Phase Rectangular Busbars Using TMR Sensors. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 24(2), pp. 388, January 2024. The full citation details ...](Pics/full.jpeg) |
2024 |
DBLP DOI BibTeX RDF |
|
20 | Jingru Li, Feng Pan, Jinli Li, Yilin Ji, Hongtian Song, Baoshuai Wang |
Research on TMR Current Transducer With Temperature Compensation Based on Reference Magnetic Field. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Access ![In: IEEE Access 11, pp. 121828-121834, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Mathis Petrovich, Michael J. Black, Gül Varol |
TMR: Text-to-Motion Retrieval Using Contrastive 3D Human Motion Synthesis. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2305.00976, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Seyed Mojtaba Marvasti-Zadeh, Nilanjan Ray, Nadir Erbilgin |
TMR-RD: Training-based Model Refinement and Representation Disagreement for Semi-Supervised Object Detection. ![Search on Bibsonomy](Pics/bibsonomy.png) |
CoRR ![In: CoRR abs/2307.13755, 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Rina Nagano, Kazuaki Hara, Etsuko Kobayashi, Takashi Ohya, Ichiro Sakuma |
A pilot study on an electromagnetic tracking system using tunneling magnetoresistance (TMR) sensors applicable to a 4F catheter (1.4 mm in diameter). ![Search on Bibsonomy](Pics/bibsonomy.png) |
Int. J. Comput. Assist. Radiol. Surg. ![In: Int. J. Comput. Assist. Radiol. Surg. 18(1), pp. 17-27, January 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Timoteo García Bertoa, Giulio Gambardella, Nicholas J. Fraser, Michaela Blott, John McAllister |
Fault-Tolerant Neural Network Accelerators With Selective TMR. ![Search on Bibsonomy](Pics/bibsonomy.png) |
IEEE Des. Test ![In: IEEE Des. Test 40(2), pp. 67-74, April 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
20 | Fei Yang, Yuting He, Xianghong Fan, Tao Chen, Teng Zhang, Binlin Ma |
A Flexible Eddy Current TMR Sensor for Monitoring Internal Fatigue Crack. ![Search on Bibsonomy](Pics/bibsonomy.png) |
Sensors ![In: Sensors 23(23), pp. 9507, December 2023. The full citation details ...](Pics/full.jpeg) |
2023 |
DBLP DOI BibTeX RDF |
|
Displaying result #1 - #100 of 344 (100 per page; Change: ) Pages: [ 1][ 2][ 3][ 4][ >>] |
|