The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Value-prediction (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-1998 (19) 1999 (17) 2000-2001 (25) 2002-2003 (24) 2004-2005 (23) 2006-2007 (18) 2008-2009 (22) 2010-2012 (21) 2013-2015 (18) 2016-2017 (15) 2018-2019 (27) 2020-2022 (21) 2023 (17) 2024 (6)
Publication types (Num. hits)
article(96) incollection(1) inproceedings(175) phdthesis(1)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 189 occurrences of 87 keywords

Results
Found 273 publication records. Showing 273 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
80Sang Jeong Lee, Pen-Chung Yew On Augmenting Trace Cache for High-Bandwidth Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Instruction Level Parallelism, data dependences, Value prediction, trace cache, dynamic classification
72Yiannakis Sazeides, James E. Smith 0001 The Predictability of Data Values. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Context Based Prediction, Stride Prediction, Last Value Prediction, Prediction, Value Prediction
65Freddy Gabbay, Avi Mendelson Can Program Profiling Support Value Prediction? Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF instruction-level parallelism, speculative execution, Value-prediction
62Ravi Bhargava, Lizy Kurian John Latency and energy aware value prediction for high-frequency processors. Search on Bibsonomy ICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF complexity-effective design, trace cache processors, low power, data speculation
60Sang Jeong Lee, Pen-Chung Yew On Some Implementation Issues for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE PACT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
60Sang Jeong Lee, Yuan Wang, Pen-Chung Yew Decoupled Value Prediction on Trace Processors. Search on Bibsonomy HPCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Wide-issue superscalar processors, Trace processors, Speculative execution, Value prediction
60Huiyang Zhou, Jill Flanagan, Thomas M. Conte Detecting Global Stride Locality in Value Streams. Search on Bibsonomy ISCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
56Freddy Gabbay, Avi Mendelson Using Value Prediction to Increase the Power of Speculative Execution Hardware. Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF stride value prediction, speculative execution, value prediction
55Toshinori Sato, Akihiko Hamano, Kiichi Sugitani, Itsujiro Arita Influence of Compiler Optimizations on Value Prediction. Search on Bibsonomy HPCN The full citation details ... 2001 DBLP  DOI  BibTeX  RDF optimization level, high-performance compilers, instruction level parallelism, value prediction, data speculation
54Anasua Bhowmik, Manoj Franklin Exploiting Data Value Prediction in Compiler Based Thread Formation. Search on Bibsonomy HiPC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF data value prediction, parallelization, profiling, data dependency, thread-level parallelism (TLP), speculative multithreading (SpMT)
51Luis Ceze, Karin Strauss, James Tuck 0001, Josep Torrellas, Jose Renau CAVA: Using checkpoint-assisted value prediction to hide L2 misses. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF checkpointed processor architectures, multiprocessor, memory hierarchies, Value prediction
49Miroslav N. Velev Using Abstraction for Efficient Formal Verification of Pipelined Processors with Value Prediction. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Sang Jeong Lee, Pen-Chung Yew On Table Bandwidth and Its Update Delay for Value Prediction on Wide-Issue ILP Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Decoupled value prediction, prediction value cache, dynamic classification
47Huiyang Zhou, Thomas M. Conte Enhancing memory level parallelism via recovery-free value prediction. Search on Bibsonomy ICS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF recovery-free value prediction, prefetching, memory disambiguation, memory level parallelism
45Bohuslav Rychlik, John Faistl, Bryon Krug, John Paul Shen Efficacy and Performance Impact of Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF usefulness tracking, instruction level parallelism, speculative execution, superscalar processor, value prediction, hybrid predictor
43Dean M. Tullsen, John S. Seng Storageless Value Prediction Using Prior Register Values. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Po-Jen Chuang, Young-Tzong Hsiao, Yu-Shian Chiu An Efficient Value Predictor Dynamically Using Loop and Locality Properties. Search on Bibsonomy J. Supercomput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF loop and locality properties, benchmarks, value prediction, prediction accuracy, hardware cost, experimental performance evaluation
41Karel Driesen, Urs Hölzle Multi-stage Cascaded Prediction. Search on Bibsonomy Euro-Par The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Mikko H. Lipasti, Christopher B. Wilkerson, John Paul Shen Value Locality and Load Value Prediction. Search on Bibsonomy ASPLOS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
40Juan M. Cebrian, Juan L. Aragón, José M. García 0001, Stefanos Kaxiras Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage, value prediction, energy efficient architectures, cache decay
39Youfeng Wu, Dong-yuan Chen, Jesse Fang Better exploration of region-level value locality with integrated computation reuse and value prediction. Search on Bibsonomy ISCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Systems Application Architecture
38Xiao-Feng Li, Chen Yang, Zhao-Hui Du, Tin-Fook Ngai Exploiting Thread-Level Speculative Parallelism with Software Value Prediction. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38J. Gregory Steffan, Christopher B. Colohan, Antonia Zhai, Todd C. Mowry Improving Value Communication for Thread-Level Speculation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallelization, multithreaded, Speculation, value prediction
38Chao-ying Fu, Jill T. Bodine, Thomas M. Conte Modeling Value Speculation: An Optimal Edge Selection Problem. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF optimal edge selection, critical path reduction, Value prediction, data dependence graph, value speculation
36Chao-ying Fu, Matthew D. Jennings, Sergei Y. Larin, Thomas M. Conte Value Speculation Scheduling for High Performance Processors. Search on Bibsonomy ASPLOS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLIW instruction schedulings, instruction level parallelism, value prediction, value speculation
36Weidong Shi, Hsien-Hsin S. Lee Accelerating memory decryption and authentication with frequent value prediction. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF message authentication, value prediction, secure processors
36Pedro Marcuello, Antonio González 0001, Jordi Tubella Thread Partitioning and Value Prediction for Exploiting Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Speculative thread-level parallelism, thread spawning policies, branch prediction, value prediction, clustered architectures
34Brad Calder, Glenn Reinman, Dean M. Tullsen Selective Value Prediction. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Yong Xiao, Xing-Ming Zhou Performance Evaluation of Data Value Prediction Schemes. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data value predictors, simulation, performance impact
31Huiyang Zhou, Thomas M. Conte Enhancing Memory-Level Parallelism via Recovery-Free Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Single data stream architectures
31Saroj Kaushik, Naman Singhal Pattern Prediction in Stock Market. Search on Bibsonomy Australasian Conference on Artificial Intelligence The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Support Vector Machine, Prediction, Pattern, Finance, Trend, Stock
31Takenori Koushiro, Toshinori Sato, Itsujiro Arita A trace-level value predictor for Contrail processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2003 DBLP  DOI  BibTeX  RDF traceconstruction, energy efficiency, simultaneous multithreading, value prediction, chip multi processors
31Jeffrey T. Oplinger, David L. Heine, Monica S. Lam In Search of Speculative Thread-Level Parallelism. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Speculative Threads, Parallelism, Value Prediction
30Milo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, Mikko H. Lipasti Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing. Search on Bibsonomy MICRO The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
30Mikko H. Lipasti, John Paul Shen Exceeding the Dataflow Limit via Value Prediction. Search on Bibsonomy MICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Ali Imtiaz, Tobias Bürger, Igor O. Popov, Elena Simperl Framework for Value Prediction of Knowledge-Based Applications. Search on Bibsonomy BIS (Workshops) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Framework for Value Prediction, benefit estimation, knowledge-based technologies, cost estimation
28Bart Goeman, Hans Vandierendonck, Koenraad De Bosschere Differential FCM: Increasing Value Prediction Accuracy by Improving Table Usage Efficiency. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Freddy Gabbay, Avi Mendelson The Effect of Instruction Fetch Bandwidth on Value Prediction. Search on Bibsonomy ISCA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Kai Wang, Manoj Franklin Highly Accurate Data Value Prediction Using Hybrid Predictors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Instruction-level parallel (ILP) processing Speculative execution, Stride-based prediction, Two-level prediction, Data speculation
26Nathan Tuck, Dean M. Tullsen Multithreaded Value Prediction. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Alain Ketterlin, Philippe Clauss Prediction and trace compression of data access addresses through nested loop recognition. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF nested loop recognition, value prediction, data access, trace analysis, trace compression
26Martin Burtscher, Amer Diwan, Matthias Hauswirth Static Load Classification for Improving the Value Predictability of Data-Cache Misses. Search on Bibsonomy PLDI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value prediction, type-based analysis
25Toshinori Sato, Itsujiro Arita Table size reduction for data value predictors by exploiting narrow width values. Search on Bibsonomy ICS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF narrow width operands, instruction level parallelism, hardware implementation, value prediction, data speculation
25Tameesh Suri, Aneesh Aggarwal Improving performance of simple cores by exploiting loop-level parallelism through value prediction and reconfiguration. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF data value prediction, dynamic reconfiguration, loop level parallelism
25Salil Mohan Pant, Gregory T. Byrd Extending concurrency of transactional memory programs by using value prediction. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2009 DBLP  DOI  BibTeX  RDF logtm, transactional memory, value prediction
25José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF path-based selector, Branch prediction, superscalar processors, value prediction, hybrid predictor
25Martin Burtscher, Benjamin G. Zorn Exploring Last n Value Prediction. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF predictor design, value prediction, processor performance, value locality, behavior prediction
25José González 0002, Antonio González 0001 Control-Flow Speculation through Value Prediction for Superscalar Processors. Search on Bibsonomy IEEE PACT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Path-based Selector, Branch Prediction, Value Prediction, Hybrid predictor
24Haibin Cheng, Pang-Ning Tan, Jing Gao, Jerry Scripps Multistep-Ahead Time Series Prediction. Search on Bibsonomy PAKDD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Yong Xiao, Kun Deng, Xingming Zhou Performance Impact of Different Data Value Predictors. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Eric Rotenberg AR-SMT: A Microarchitectural Approach to Fault Tolerance in Microprocessors. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF branch prediction and value prediction, trace processors, transient faults, simultaneous multithreading, time redundancy
23Salil Mohan Pant, Gregory T. Byrd Limited early value communication to improve performance of transactional memory. Search on Bibsonomy ICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF parallel programming, transactional memory, value prediction
23Lucian Codrescu, D. Scott Wills, James D. Meindl Architecture of the Atlas Chip-Multiprocessor: Dynamically Parallelizing Irregular Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Thread speculation, multiscalar, parallelization, chip-multiprocessor, multithreading, value prediction
20Lois Orosa 0001, Rodolfo Azevedo, Onur Mutlu AVPP: Address-first Value-next Predictor with Value Prefetching for Improving the Efficiency of Load Value Prediction. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Easwaran Raman, Neil Vachharajani, Ram Rangan, David I. August Spice: speculative parallel iteration chunk execution. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF automatic paralleization, thread level parallelism, multicore architectures, speculative parallelization, value speculation
20Juan M. Cebrian, Juan L. Aragón, José M. García 0001 Leakage Energy Reduction in Value Predictors through Static Decay. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Maurício L. Pilla, Philippe Olivier Alexandre Navaux, Bruce R. Childers, Amarildo T. da Costa, Felipe Maia Galvão França Value Predictors for Reuse through Speculation on Traces. Search on Bibsonomy SBAC-PAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chen-Yong Cher, Il Park 0001, T. N. Vijaykumar Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. Search on Bibsonomy ARCS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Jian Huang, David J. Lilja Balancing Reuse Opportunities and Performance Gains with Subblock Value Reuse. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Block reuse, subblock reuse, compiler flow analysis, value reuse, value locality
18Yong Xiao, Xingming Zhou, Kun Deng Making Power-Efficient Data Value Predictions. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Tarun Nakra, Rajiv Gupta 0001, Mary Lou Soffa Value Prediction in VLIW Machines. Search on Bibsonomy ISCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Eric Tune, Dongning Liang, Dean M. Tullsen, Brad Calder Dynamic Prediction of Critical Path Instructions. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Toshinori Sato, Itsujiro Arita Reducing Energy Consumption via Low-Cost Value Prediction. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Arpad Gellert, Adrian Florea, Maria N. Vintan, Colin Egan, Lucian N. Vintan Unbiased Branches: An Open Problem. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF unbiased branch, branch difference value prediction, Branch prediction
16Onur Mutlu, Hyesoon Kim, Yale N. Patt Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF value prediction, memory-level parallelism, runahead execution, Single data stream architectures
16Peng Chen 0012, Krishna M. Kavi, Robert Akl Performance Enhancement by Eliminating Redundant Function Execution. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Function reuse, Basic Block Reuse, SimpleScalar, Instruction Level Parallelism, Speculative Execution, Value Prediction, Instruction Reuse
16Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
16G. Surendra, Subhasis Banerjee, S. K. Nandy 0001 On the Effectiveness of Flow Aggregation in Improving Instruction Reuse in Network Processing Applications. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiprocessors, network processors, value prediction, instruction reuse
16Martin Burtscher, Benjamin G. Zorn Hybrid Load-Value Predictors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF load-value predictor, performance metrics, Value prediction, value locality, hybrid predictor
16Yiannakis Sazeides Modeling Value Speculation. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF microarchitecture modeling, speculation, value prediction, value speculation
16Kevin M. Lepak, Gordon B. Bell, Mikko H. Lipasti Silent Stores and Store Value Locality. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF store optimization, cache coherence, value prediction, false sharing, Value locality
16Pedro Marcuello, Antonio González 0001 A Quantitative Assessment of Thread-Level Speculation Techniques. Search on Bibsonomy IPDPS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Speculative Thread-level Parallelism, Thread Spawning Policies, Branch Prediction, Value prediction
16Toshinori Sato, Itsujiro Arita Partial Resolution in Data Value Predictors. Search on Bibsonomy ICPP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF partial resolution, tag bitwidth, instruction level parallelism, value prediction, data speculation
16Bryan Black, Brian Mueller, Stephanie Postal, Ryan N. Rakvic, Noppanunt Utamaphethai, John Paul Shen Load Execution Latency Reduction. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF load address prediction, load execution, load/store alias, speculative execution, value prediction
16José González 0002, Antonio González 0001 The Potential of Data Value Speculation to Boost ILP. Search on Bibsonomy International Conference on Supercomputing The full citation details ... 1998 DBLP  DOI  BibTeX  RDF data value speculation, limits of ILP, value prediction
16Eric Rotenberg, Quinn Jacobson, Yiannakis Sazeides, James E. Smith 0001 Trace Processors. Search on Bibsonomy MICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF trace processors, multiscalar processors, next trace prediction, selective reissuing, context-based value prediction, trace cache
15Nevin Kirman, Meyrem Kirman, Mainak Chaudhuri, José F. Martínez Checkpointed Early Load Retirement. Search on Bibsonomy HPCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Jian Huang, David J. Lilja Exploiting Basic Block Value Locality with Block Reuse. Search on Bibsonomy HPCA The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Rafet Sifa, Julian Runge, Christian Bauckhage, Daniel Klapper Customer Lifetime Value Prediction in Non-Contractual Freemium Settings: Chasing High-Value Users Using Deep Neural Networks and SMOTE. Search on Bibsonomy HICSS The full citation details ... 2018 DBLP  BibTeX  RDF
15Sparsh Mittal A survey of value prediction techniques for leveraging value locality. Search on Bibsonomy Concurr. Comput. Pract. Exp. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Luis Piñuel, Rafael A. Moreno, Francisco Tirado Analysing value substitution and confidence estimation for value prediction. Search on Bibsonomy J. Syst. Archit. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Chen Tian 0002, Min Feng 0001, Rajiv Gupta 0001 Speculative parallelization using state separation and multiple value prediction. Search on Bibsonomy ISMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multicore processors, speculative parallelization
14Chi-Chun Huang, Hahn-Ming Lee A Grey-Based Nearest Neighbor Approach for Missing Attribute Value Prediction. Search on Bibsonomy Appl. Intell. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF missing attribute values, grey-based nearest neighbor approach, the nearest neighbor concept, grey relational analysis
13Robby Goetschalckx, Scott Sanner, Kurt Driessens Reinforcement Learning with the Use of Costly Features. Search on Bibsonomy EWRL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Martin Burtscher, Ilya Ganusov, Sandra J. Jackson, Jian Ke, Paruj Ratanaworabhan, Nana B. Sam The VPC Trace-Compression Algorithms. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance analysis and design aids, Data compaction and compression
12Eli Simhayev, Gilad Katz, Lior Rokach PIVEN: A Deep Neural Network for Prediction Intervals with Specific Value Prediction. Search on Bibsonomy CoRR The full citation details ... 2020 DBLP  BibTeX  RDF
12Rami Sheikh, Harold W. Cain, Raguram Damodaran Load value prediction via path-based address prediction: avoiding mispredictions due to conflicting stores. Search on Bibsonomy MICRO The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
12Arthur Perais Increasing the performance of superscalar processors through value prediction. (La prédiction de valeurs comme moyen d'augmenter la performance des processeurs superscalaires). Search on Bibsonomy 2015   RDF
12Martin Burtscher, Benjamin G. Zorn Prediction Outcome History-Based Confidence Estimation for Load Value Prediction. Search on Bibsonomy J. Instr. Level Parallelism The full citation details ... 1999 DBLP  BibTeX  RDF
12Nana B. Sam, Martin Burtscher Improving memory system performance with energy-efficient value speculation. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Aneesh Aggarwal, Manoj Franklin Putting Data Value Predictors to Work in Fine-Grain Parallel Processors. Search on Bibsonomy HiPC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Jian Huang, David J. Lilja Extending Value Reuse to Basic Blocks with Compiler Support. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Block history buffer, block reuse, compiler flow analysis, value reuse, value locality
11Zibin Zheng, Hao Ma 0001, Michael R. Lyu, Irwin King WSRec: A Collaborative Filtering Based Web Service Recommender System. Search on Bibsonomy ICWS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
10Maurício L. Pilla, Bruce R. Childers, Amarildo T. da Costa, Felipe M. G. França, Philippe Olivier Alexandre Navaux A Speculative Trace Reuse Architecture with Reduced Hardware Requirements. Search on Bibsonomy SBAC-PAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
10Lucian Codrescu, S. Nugent, James D. Meindl, D. Scott Wills Modeling technology impact on cluster microprocessor performance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
10Veerle Desmet, Bart Goeman, Koenraad De Bosschere Independent Hashing as Confidence Mechanism for Value Predictors in Microprocessors. Search on Bibsonomy Euro-Par The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
10Rafael A. Moreno, Luis Piñuel, Silvia Del Pino, Francisco Tirado A Power Perspective of Value Speculation for Superscalar Microprocessors. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
9Gordana Ispirova, Tome Eftimov, Saso Dzeroski, Barbara Korousic-Seljak MsGEN: Measuring generalization of nutrient value prediction across different recipe datasets. Search on Bibsonomy Expert Syst. Appl. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Jun Shi, Peiyi Zhang, Sihan Du, Wanyong Liang, Weifeng Cao, Qingbo Li, Hechao Hou Chipping value prediction for dicing saw based on sparrow search algorithm and neural networks. Search on Bibsonomy J. Supercomput. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
9Yongliang Zhang, Yitong Rong, Xuyang Duan, Zhen Yang, Qiang Li, Ziyu Guo, Xu Cheng 0002, Xiaoyang Zeng, Jun Han 0003 An Energy-Efficient BNN Accelerator With Two-Stage Value Prediction for Sparse-Edge Gesture Recognition. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 273 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license