The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase analog/digital (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1953-1961 (16) 1962-1968 (15) 1969-1988 (16) 1989-1992 (20) 1993-1995 (22) 1996-1997 (20) 1998-1999 (19) 2000 (15) 2001-2002 (24) 2003 (22) 2004-2005 (31) 2006 (19) 2007-2008 (24) 2009-2010 (25) 2011-2012 (16) 2013-2014 (24) 2015 (17) 2016 (27) 2017 (23) 2018 (24) 2019 (17) 2020 (21) 2021 (15) 2022-2023 (23) 2024 (5)
Publication types (Num. hits)
article(173) incollection(2) inproceedings(312) phdthesis(13)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 106 occurrences of 88 keywords

Results
Found 500 publication records. Showing 500 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
121Thelma Estrin The UCLA Brain Research Institute data processing laboratory. Search on Bibsonomy History of Medical Informatics The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
57Ami Litman, Shiri Moran-Schein Smooth scheduling under variable rates or the analog-digital confinement game. Search on Bibsonomy SPAA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF EDF schemes, EDF strategies, concurrent confinement games, confinement games, non-concurrent confinement games, smooth scheduling, variable rates, online scheduling, two players games
50Rakesh Chadha, Chandramouli Visweswariah, Chin-Fu Chen M3-a multilevel mixed-mode mixed A/D simulator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
50Anirudh Devgan, Ronald A. Rohrer Efficient simulation of interconnect and mixed analog-digital circuits in ACES. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF adaptively controlled explicit simulation, mixed analog-digital circuits, analog circuit simulation, interconnect circuit simulation, AWE macromodels, nonlinear terminations, variable accuracy device models, circuit topology constraints removal, timing, circuit analysis computing, transient analysis, analogue integrated circuits, integrated circuit interconnections, mixed analogue-digital integrated circuits, ACES, transient simulation, timing simulation
44Valeriu Beiu A Novel Highly Reliable Low-Power Nano Architecture When von Neumann Augments. Search on Bibsonomy ASAP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Arun Achyuthan, Mohamed I. Elmasry Mixed analog/digital hardware synthesis of artificial neural networks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Daniele Bonomi, Giorgio Boselli, Gabriella Trucco, Valentino Liberali Effects of digital switching noise on analog voltage references in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
39Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Sree Ganesan, Ranga Vemuri Analog-Digital Partitioning for Field-Programmable Mixed Signal Systems. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Brian A. A. Antao, Arthur J. Brodersen ARCHGEN: Automated synthesis of analog systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
37Binlin Guo, Jiarong Tong A SC-based novel configurable analog cell. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Chandramouli Visweswariah, Rakesh Chadha, Chin-Fu Chen Model Development and Verification for High Level Analog Blocks. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
34Junwei Hou, William H. Kao, Abhijit Chatterjee A novel concurrent fault simulation method for mixed-signal circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Terrence S. T. Mak, N. Pete Sedcole, Peter Y. K. Cheung, Wayne Luk, Kai-Pui Lam A Hybrid Analog-Digital Routing Network for NoC Dynamic Routing. Search on Bibsonomy NOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Alex Doboli, Ranga Vemuri Behavioral modeling for high-level synthesis of analog and mixed-signal systems from VHDL-AMS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32C. K. Yuen Negabinary A/D Conversion. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF negabinary analog-digital conversion, Analog-digital conversion
31G. Mulliken, Farhan Adil, Gert Cauwenberghs, Roman Genov Delta-sigma algorithmic analog-to-digital conversion. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Resve A. Saleh, Brian A. A. Antao, Jaidip Singh Multilevel and mixed-domain simulation of analog circuits and systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
30Osamu Nomura, Takashi Morie Projection-Field-Type VLSI Convolutional Neural Networks Using Merged/Mixed Analog-Digital Approach. Search on Bibsonomy ICONIP (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30A. William Stoffel An Agent Based Hybrid Analog-Digital Robotic Sensor Web Meta-system. Search on Bibsonomy WRAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
30Mattias Duppils, Christer Svensson Low power mixed analog-digital signal processing. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Ashkan Olyaei, Roman Genov Algorithmic Delta-Sigma-modulated FIR filter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ying Song, Yu Gong, Sen M. Kuo A robust hybrid feedback active noise cancellation headset. Search on Bibsonomy IEEE Trans. Speech Audio Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yuzo Hirai Recent VLSI neural networks in Japan. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Keisuke Korekado, Takashi Morie, Osamu Nomura, Hiroshi Ando, Teppei Nakano, Masakazu Matsugu, Atsushi Iwata A Convolutional Neural Network VLSI for Image Recognition Using Merged/Mixed Analog-Digital Architecture. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Eugenio Culurciello, Andreas G. Andreou An 8-bit, 1mW successive approximation ADC in SOI CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Gabriella Trucco, Giorgio Boselli, Valentino Liberali A Study of Crosstalk Through Bonding and Package Parasitics in CMOS Mixed Analog-Digital Circuits. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Cesare Alippi, Marco Stellini High level accuracy loss estimates for a class of analog/digital systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Tetsuya Asai, Masato Koutani, Yoshihito Amemiya An Analog-Digital Hybrid CMOS Circuit for Two-Dimensional Motion Detection with Correlation Neural Networks. Search on Bibsonomy IJCNN (3) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Johan Verfaillie, Didier Haspeslagh A general purpose design-for-test methodology at the analog-digital boundary of mixed-signal VLSI. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-signal DFT, mixed-signal boundary scan, modular mixed-signal test
25Paul Chow, P. Glenn Gulak A Field-Programmable Mixed-Analog-Digital Array. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25W. E. Mattis A Hybrid Architecture for Neurocomputing (Abstract). Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
24Sameer R. Sonkusale, Jan Van der Spiegel, K. Nagaraj Background digital error correction technique for pipelined analog-digital converters. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Sri Chandra Driving Analog Mixed Signal Verification through Verilog-AMS. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Alex Doboli, Ranga Vemuri A Functional Specification Notation for Co-Design of Mixed Analog-Digital Systems. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Santina Rocchi, Valerio Vignoli A chaotic CMOS true-random analog/digital white noise generator. Search on Bibsonomy ISCAS (5) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Yu Liu, Thanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita System level design language extensions for timed/untimed digital-analog combined system design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF AMS extension, timed/untimed, synchronization, system level design, mixed-signal
22Qin Li, Li Cai, Gang Wu Digital-analog and analog-digital converters based on single-electron and MOS transistors. Search on Bibsonomy ICCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
22Carl G. Blanyer, H. Mori Analog, digital, and combined analog-digital computers for real-time simulation. Search on Bibsonomy IRE-ACM-AIEE Computer Conference (Eastern) The full citation details ... 1957 DBLP  DOI  BibTeX  RDF
20Guillermo Zatorre, Nicolás J. Medrano-Marqués, Santiago Celma, Bonifacio Martín-del-Brío, Antonio Bono-Nuez Smart Sensing with Adaptive Analog Circuits. Search on Bibsonomy IWANN The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Pierluigi Daglio, Carlo Roma A Fully Qualified Top-Down and Bottom-Up Mixed-Signal Design Flow for Non Volatile Memories Technologies. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Nabil Ouerhani, Heinz Hügli, Pierre-Yves Burgi, Pierre-François Ruedi A Real Time Implementation of the Saliency-Based Model of Visual Attention on a SIMD Architecture. Search on Bibsonomy DAGM-Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Timo Koskinen, Peter Y. K. Cheung Hierarchical tolerance analysis using statistical behavioral models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
20Ji-Chien Lee, Bing J. Sheu, Rama Chellappa A VLSI neuroprocessor for image restoration using analog computing-based systolic architecture. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Hocheol Shin, Yunmok Son, Young-Seok Park, Yujin Kwon, Yongdae Kim Sampling Race: Bypassing Timing-Based Analog Active Sensor Spoofing Detection on Analog-Digital Systems. Search on Bibsonomy WOOT The full citation details ... 2016 DBLP  BibTeX  RDF
19Peter Schwarz Wiederverwendung von analog-digitalen Schaltungen (Reuse of Mixed Analog-Digital Circuits). Search on Bibsonomy Informationstechnik Tech. Inform. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Hiroshi Sagesaka, Hisashi Irii, Hideki Asai SPADE : analog/digital mixed signal simulator with analog hardware description language. Search on Bibsonomy ICECS The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Dominique Rodriguez Description et simulation mixte analogique-numérique: analyse de VHDL analogique, réalisation d'un simulateur mixte. (Mixed analog-digital description and simulation: Study of analog VHDL. Implementation of a mixed simulator). Search on Bibsonomy 1994   RDF
19Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli Constraint-based channel routing for analog and mixed analog/digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Umakanta Choudhury, Alberto L. Sangiovanni-Vincentelli Constraint-Based Channel Routing for Analog and Mixed Analog/Digital Circuits. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19David J. Chen, Ji-Chien Lee, Bing J. Sheu SLAM: a smart analog module layout generator for mixed analog-digital VLSI design. Search on Bibsonomy ICCD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Hirotsugu Okuno, Tetsuya Yagi A Robot Vision System for Collision Avoidance Using a Bio-inspired Algorithm. Search on Bibsonomy ICONIP (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18John A. Nestor, David A. Rich Integrating Digital, Analog, and Mixed-Signal Design in an Undergraduate ECE Curriculum. Search on Bibsonomy MSE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Tetsuya Yagi, Kazuhiro Shimonomura Silicon primary visual cortex designed with a mixed analog-digital architecture. Search on Bibsonomy IJCNN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Dietmar Schroeder Adaptive Low-Power Analog/Digital Converters for Wireless Sensor Networks. Search on Bibsonomy WISES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Alexandre Schmid, D. Bowler, R. Baumgartner, Yusuf Leblebici A novel analog-digital flash converter architecture based on capacitive threshold gates. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18H.-C. Chow, W.-S. Feng, James B. Kuo An improved analytical short-channel MOSFET model valid in all regions of operating for analog/digital circuit simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
16Ludovic Alvado, Sylvain Saïghi, Jean Tomas, Sylvie Renaud-Le Masson An Exponential-Decay Synapse Integrated Circuit for Bio-inspired Neural Networks. Search on Bibsonomy IWANN (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Fabio Ancona, Giorgio Oddone, Stefano Rovetta, Gianni Uneddu, Rodolfo Zunino VLSI Architectures for Programmable Sorting of Analog Quantities with Multiple-Chip Support. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Esa Korhonen, Juha Kostamovaara Memory Optimized Two-Stimuli INL Test Method for DAC-ADC Pairs. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF didital-analog conversion, algorithms, testing, histograms, linearity, analog-digital conversion
16Moshe Mishali, Yonina C. Eldar Xampling: Analog Data Compression. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog processing circuits, data conversion, sampling methods, analog digital conversion
16Anirudh Devgan, Bulent Basaran, David Colleran, Mar Hershenson Accelerated design of analog, mixed-signal circuits in Titan. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF analog circuit layout, analog/digital, custom design, layout, physical design, analog circuits, mixed-signal circuits
16Shalabh Goyal, Abhijit Chatterjee Linearity Testing of A/D Converters Using Selective Code Measurement. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Testing, Non-linearity, Manufacturing test, Analog-digital conversion
16Lukas Fujcik, Radimir Vrba, Roman Prokop, Jaromir Hubalek, Pavel Steffan, Hana Hornochova A Microconductometer Utilizing Bipolar Pulse Method for Electro-Chemical Sensors. Search on Bibsonomy ICONS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mixed analog-digital integrated circuits
16Samiran Halder, Swapna Banerjee, Arindrajit Ghosh, Ravi Sankar Prasad, Anirban Chatterjee, Sanjoy Kumar Dey A 10-Bit 80-MSPS 2.5-V 27.65-mW 0.185-mm2 Segmented Current Steering CMOS DAC. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MOS Analog Circuits, Digital to Analog Conversion, Mixed Analog -Digital Integrated Circuits, Low Power
16Luciano Lavagno, Begoña Pino, Leonardo Maria Reyneri, A. Serra A Simulink(c)-Based Approach to System Level Design and Architecture Selection. Search on Bibsonomy EUROMICRO The full citation details ... 2000 DBLP  DOI  BibTeX  RDF mixed analog/digital, embedded systems, Low-power, system-on-a-chip, Hardware-Software codesign
16Andrea Boni, Andrea Pierazzi Yield Enhancement by Multi-level Linear Modeling of Non-Idealities in an Interpolated Flash ADCs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF BiCMOS analog integrated circuits, Monte Carlo methods, Yield optimization, Analog-digital conversion
16Andrzej Materka, Michal Strzelecki Parametric testing of mixed-signal circuits by ANN processing of transient responses. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed analog-digital integrated circuits, transient power, supply current, neural networks, integrated circuit testing
16Mehdi Ehsanian, Bozena Kaminska, Karim Arabi A new digital test approach for analog-to-digital converter testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF digital test approach, analog/digital converter testing, BIST circuitry, offset error, gain error, DNL, INL, area overhead reduction, medium resolution ADC, high resolution pipelined ADC, A/D converter testing, differential nonlinearity, integral nonlinearity, 1.5 micron, VLSI, built-in self test, built-in self-test, integrated circuit testing, CMOS integrated circuits, CMOS technology, analogue-digital conversion
16Roger L. Boyell Real-time simulation of mapping radar. Search on Bibsonomy Commun. ACM The full citation details ... 1962 DBLP  DOI  BibTeX  RDF radar simulation, real-time analog/digital computing, real-time simulation at megacycle rates, hybrid computers, terrain mapping
16Scott Melvin, Manmeet S. Goldy, Jacek Ilow Antenna Load Mismatch Effects in EER-Based Transmitters for Digital Audio Broadcasting. Search on Bibsonomy CNSR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Zeynep Toprak Deniz, Yusuf Leblebici Design and realization of a modular 200 MSample/s 12-bit pipelined A/D converter block using deep-submicron digital CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Jan Craninckx, Stéphane Donnay 4G terminals: how are we going to design them? Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF 4th generation, radio front-end, telecommunication, wireless systems
16Ingemar J. Cox, Joe Kilian, Frank Thomson Leighton, Talal Shamoon Secure spread spectrum watermarking for multimedia. Search on Bibsonomy IEEE Trans. Image Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Robert M. Gray, Richard A. Olshen, D. Ikeda, Pamela C. Cosman, Sharon M. Perlmutter, Cheryl L. Nash, Keren Perlmutter Evaluating quality and utility in digital mammography. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF digital mammography utility, digital mammography quality evaluation, scientists, insurance companies, lawyers, computer-aided diagnostic methodology, clinical experiments, data compression, image enhancement, medical image processing, engineers, regulators, reviews, quality control, protocols design, administrators, medical diagnostic imaging, lossy compression, diagnostic radiography, patients, analogue-digital conversion, analog-to-digital conversion
15Alireza Morsali, Benoît Champagne 0001 Achieving Fully-Digital Performance by Hybrid Analog/Digital Beamforming in Wide-Band Massive-Mimo Systems. Search on Bibsonomy ICASSP The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Wenjing Deng, Wei Zhou, Xiangming Sun, Chaosong Gao, Di Guo, Guangming Huang A high-precision coarse-fine time-to-digital converter with the analog-digital hybrid interpolation. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Ang Li 0003, Christos Masouros Energy-Efficient SWIPT: From Fully Digital to Hybrid Analog-Digital Beamforming. Search on Bibsonomy IEEE Trans. Veh. Technol. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Seok Min Jung, Janet Meiling Roveda A low jitter digital phase-locked loop with a hybrid analog/digital PI control. Search on Bibsonomy NEWCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Tomohiro Taniguchi, Toshihito Fujiwara, Hidekazu Shimizu, Ryota Shiina, Toshiaki Shitaba, Yasunobu Kasahara, Hisao Yoshinaga, Tomoki Sugawa Digital baseband signal broadcasting of ultra-high definition video over analog/digital hybrid network. Search on Bibsonomy APSITT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Tadilo Endeshaw Bogale, Long Bao Le Beamforming for multiuser massive MIMO systems: Digital versus hybrid analog-digital. Search on Bibsonomy GLOBECOM The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Jaeha Kim, Sigang Ryu, Byoung-Joo Yoo, Hanseok Kim, Yunju Choi, Deog-Kyoon Jeong A model-first design and verification flow for analog-digital convergence systems: A high-speed receiver example in digital TVs. Search on Bibsonomy ISCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Michael H. Perrott, Yunteng Huang, Rex T. Baird, Bruno W. Garlepp, Douglas Pastorello, Eric T. King, Qicheng Yu, Dan B. Kasha, Philip Steiner, Ligang Zhang, Jerrell P. Hein, Bruce Del Signore A 2.5-Gb/s Multi-Rate 0.25-$\mu$m CMOS Clock and Data Recovery Circuit Utilizing a Hybrid Analog/Digital Loop Filter and All-Digital Referenceless Frequency Acquisition. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Per Löwenborg, Håkan Johansson, Lars Wanhammar Two-channel digital and hybrid analog/digital multirate filter banks with very low-complexity analysis or synthesis filters. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15R. T. Lara Sáez, Maher Kayal, Michel J. Declercq, M. C. Schneider Digital circuit techniques for mixed analog/digital circuits applications. Search on Bibsonomy ICECS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
15Donald Thelen, John MacDonald Simulating mixed analog-digital circuits on a digital simulator. Search on Bibsonomy ICCAD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
14Peng Wang, Xun Zhang, Dongming Jin A Novel Multiplier for Achieving the Programmability of Cellular Neural Network. Search on Bibsonomy ICONIP (3) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Gabriella Trucco, Giorgio Boselli, Valentino Liberali An approach to computer simulation of bonding and package crosstalk in mixed-signal CMOS ICs. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF crosstalk, mixed-signal ICs
14Sule Ozev, Ismet Bayraktaroglu, Alex Orailoglu Test Synthesis for Mixed-Signal SOC Paths. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Alex Yoondong Park, Jim-Shih Liaw, Theodore W. Berger, Bing J. Sheu Compact VLSI Neural Network Circuit with High-Capacity Dynamic Synapses. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Takeshi Kamio, Shinichiro Tanaka, Mititada Morisue Backpropagation Algorithm for Logic Oriented Neural Networks. Search on Bibsonomy IJCNN (2) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Tadashi Shibata Right brain computing hardware: a psychological brain model on silicon. Search on Bibsonomy KES (3) The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Stephen P. DeWeerth, Girish N. Patel, Mario F. Simoni, David E. Schimmel, Ronald L. Calabrese A VLSI Architecture for Modeling Intersegmental Coordination. Search on Bibsonomy ARVLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
13R. Xue, Q. Xu, Ka-Fai Chang, Kam-Weng Tam A new method of an IF I/Q demodulator for narrowband signals. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Adel Daouzli, Sylvain Saïghi, Michelle Rudolph, Alain Destexhe, Sylvie Renaud Convergence in an Adaptive Neural Network: The Influence of Noise Inputs Correlation. Search on Bibsonomy IWANN (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Neuromorphic Engineering, Silicon Neurons, Hodgkin-Huxley Model, STDP
12Giacomo Indiveri, Elisabetta Chicca, Rodney J. Douglas A VLSI array of low-power spiking neurons and bistable synapses with spike-timing dependent plasticity. Search on Bibsonomy IEEE Trans. Neural Networks The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Yukitoshi Sanada, Masaaki Ikehara Decorrelating compensation scheme for coefficient errors of a filter bank parallel A/D converter. Search on Bibsonomy IEEE Trans. Wirel. Commun. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Yoshihiko Horio, Takahide Okuno, Koji Mori Switched-Capacitor Large-Scale Chaotic Neuro-Computer Prototype and Chaotic Search Dynamics. Search on Bibsonomy KES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Vincent Douence, Sylvie Renaud-Le Masson, Sylvain Saïghi, Gwendal Le Masson A Field-Programmable Conductance Array IC for Biological Neurons Modeling. Search on Bibsonomy IWANN (2) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
11Yi-Feng Ye, Yi-Tong Wang, Jia-Hao Feng, Lin-Sheng Wu, Liang-Feng Qiu, Junfa Mao A Compact Ka-Band Hybrid Analog/Digital Phase Shifter With GaAs Technology. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 500 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license