The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase body-biasing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2001-2004 (16) 2005 (18) 2006-2007 (25) 2008 (18) 2009 (15) 2010-2012 (21) 2013-2014 (17) 2015-2016 (29) 2017-2018 (16) 2019-2020 (20) 2021-2022 (18) 2023-2024 (11)
Publication types (Num. hits)
article(66) inproceedings(158)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 70 occurrences of 45 keywords

Results
Found 224 publication records. Showing 224 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
119Alyssa Bonnoit, Lawrence T. Pileggi Reducing variability in chip-multiprocessors with adaptive body biasing. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic voltage/frequency scaling, body biasing
111Po-Yuan Chen, Chiao-Chen Fang, TingTing Hwang, Hsi-Pin Ma Leakage reduction, delay compensation using partition-based tunable body-biasing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, process variations, leakage current, Body biasing
109Jinseob Jeong, Seungwhun Paik, Youngsoo Shin Statistical mixed Vt allocation of body-biased circuits for reduced leakage variation. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
68Alyssa Bonnoit, Sebastian Herbert, Diana Marculescu, Lawrence T. Pileggi Integrating dynamic voltage/frequency scaling and adaptive body biasing using test-time voltage selection. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dynamic voltage / frequency scaling, body biasing
68Vishal Khandelwal, Ankur Srivastava 0001 Active mode leakage reduction using fine-grained forward body biasing strategy. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF forward body biasing, leakage power optimization
60Amlan Ghosh, Rahul M. Rao, Richard B. Brown A centralized supply voltage and local body bias-based compensation approach to mitigate within-die process variation. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fine-grain body-biasing, process variation compensation, slewrate
60Jabulani Nyathi, Brent Bero Logic circuits operating in subthreshold voltages. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logic styles, medium-to-high speed, off current, ultra-low power, noise margins, subthreshold, body biasing
60Chris H. Kim, Jae-Joon Kim, Saibal Mukhopadhyay, Kaushik Roy 0001 A forward body-biased low-leakage SRAM cache: device and architecture considerations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF forward body-biasing, super high VT, SRAM, leakage power
59Koichi Hamamoto, Hiroshi Fuketa, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Experimental study on body-biasing layout style-- negligible area overhead enables sufficient speed controllability --. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF layout, body bias
56Tom W. Chen, Justin Gregg A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Domenik Helms, Olaf Meyer, Marko Hoyer, Wolfgang Nebel Voltage- and ABB-island optimization in high level synthesis. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, process variation, leakage, voltage islands
54Yan Zhang 0028, Mircea R. Stan Temperature-aware circuit design using adaptive body biasing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, temperature-aware design
51Bipul C. Paul, Kaushik Roy 0001 Impact of Body Bias on Delay Fault Testing of Sub-100 nm CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive body bias design, statistical analysis, process variation, delay fault testing
51Bipul Chandra Paul, Cassondra Neau, Kaushik Roy 0001 Impact of Body Bias on Delay Fault Testing of Nanoscale CMOS Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
51Radu Teodorescu, Jun Nakano, Abhishek Tiwari 0002, Josep Torrellas Mitigating Parameter Variation with Dynamic Fine-Grain Body Biasing. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
51Walid Elgharbawy, Pradeep Golconda, Ashok Kumar 0001, Magdy A. Bayoumi A new gate-level body biasing technique for PMOS transistors in subthreshold CMOS circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Byunghee Choi, Youngsoo Shin Lookup Table-Based Adaptive Body Biasing of Multiple Macros. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
46Yuan Cai, Marcus T. Schmitz, Bashir M. Al-Hashimi, Sudhakar M. Reddy Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF adaptive body biasing, embedded systems, Dynamic voltage scaling, battery
46Nikhil Jayakumar, Sandeep Dhar, Sunil P. Khatri A self-adjusting scheme to determine the optimum RBB by monitoring leakage currents. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, self-adjusting, body-biasing
46Le Yan, Lin Zhong 0001, Niraj K. Jha User-perceived latency driven voltage scaling for interactive applications. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF adaptive body biasing, computer responsiveness, dynamic voltage scaling, power consumption
44Xin He, Syed Al-Kadry, Afshin Abdollahi Adaptive leakage control on body biasing for reducing power consumption in CMOS VLSI circuit. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
44Kiyotaka Imai, Yasushi Yamagata, Sadaaki Masuoka, Naohiko Kimuzuka, Yuri Yasuda, Mitsuhiro Togo, Masahiro Ikeda, Yasutaka Nakashiba Device technology for body biasing scheme. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Steven M. Martin, Krisztián Flautner, Trevor N. Mudge, David T. Blaauw Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
43Bo Fu, Paul Ampadu Techniques for robust energy efficient subthreshold domino CMOS circuits. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
43Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
40Justin Gregg, Tom W. Chen Post Silicon Power/Performance Optimization in the Presence of Process Variations Using Individual Well-Adaptive Body Biasing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Le Yan, Jiong Luo, Niraj K. Jha Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Mahipal Dargupally, Lomash Chandra Acharya, Khoirom Johnson Singh, Neha Gupta, Arvind K. Sharma, Sudeb Dasgupta, Anand Bulusu An Efficient Standard Cell Design Methodology by Exploiting Body Biasing and Poly Biasing in FDSOI for NTV Regime. Search on Bibsonomy APCCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
38Andrew Whetzel, Mircea R. Stan Gate Overdrive with Split-Circuit Biasing to Substitute for Body Biasing in FinFET and UTB FDSOI Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
37Po-Kuan Huang, Soheil Ghiasi Power-aware compilation for embedded processors with dynamic voltage scaling and adaptive body biasing capabilities. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Maurice Meijer, Francesco Pessolano, José Pineda de Gyvez Limits to performance spread tuning using adaptive voltage and body biasing. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Le Yan, Jiong Luo, Niraj K. Jha Combined Dynamic Voltage Scaling and Adaptive Body Biasing for Heterogeneous Distributed Real-time Embedded Systems. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Amlan Ghosh, Rob Franklin, Richard B. Brown Analog Circuit Design Methodologies to Improve Negative-Bias Temperature Instability Degradation. Search on Bibsonomy VLSI Design The full citation details ... 2010 DBLP  DOI  BibTeX  RDF analog circuit design methodologies, input switching, NBTI, body biasing
31Georges Nabaa, Navid Azizi, Farid N. Najm An adaptive FPGA architecture with process variation compensation and reduced leakage. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, process variations, leakage, body-biasing
31Nikhil Jayakumar, Sunil P. Khatri A variation tolerant subthreshold design approach. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF variation-toleran, self-adjusting, body-biasing, sub-threshold
30Siddharth Garg, Diana Marculescu System-level mitigation of WID leakage power variability using body-bias islands. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design, algorithms
30Masayuki Miyazaki, Goichi Ono, Takayuki Kawahara Optimum threshold-voltage tuning for low-power, high-performance microprocessor. Search on Bibsonomy ISCAS (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Guochen Hua, Meng Wang 0005, Zili Shao, Hui Liu 0006, Chun Xue Real-Time Loop Scheduling with Energy Optimization Via DVS and ABB for Multi-core Embedded System. Search on Bibsonomy EUC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Sang-Soo Lee, Edward Boling, Augustine Kuo, Robert Rogenmoser A slew-rate based process monitor and bi-directional body bias circuit for adaptive body biasing in SoC applications. Search on Bibsonomy CICC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
26Koichi Hamamoto, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Tuning-friendly body bias clustering for compensating random variability in subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF body bias clustering, performance compensation, layout, manufacturing variability, subthreshold circuits
25Josef Haid, Bernd Zimek, Thomas Leutgeb, Thomas Künemund Impact of Leakage Current on Data Retention of RF-powered Devices During Amplitude-Modulation-based Communication. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Alexandru Andrei, Petru Eles, Zebo Peng, Marcus T. Schmitz, Bashir M. Al-Hashimi Energy Optimization of Multiprocessor Systems on Chip by Voltage Selection. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Francesco Conti 0001, Gianna Paulin, Angelo Garofalo, Davide Rossi, Alfio Di Mauro, Georg Rutishauser, Gianmarco Ottavi, Manuel Eggimann, Hayate Okuhara, Luca Benini Marsellus: A Heterogeneous RISC-V AI-IoT End-Node SoC With 2-8 b DNN Acceleration and 30%-Boost Adaptive Body Biasing. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Rohan Sinha, Devraj M. Rajagopal, Aditya Madhavan Voltage Mode Charge Pump Regulator with Improved Compensation and Dynamic Body Biasing Scheme. Search on Bibsonomy VLSID The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Francesco Conti 0001, Gianna Paulin, Davide Rossi, Alfio Di Mauro, Georg Rutishauser, Gianmarco Ottavi, Manuel Eggimann, Hayate Okuhara, Luca Benini Marsellus: A Heterogeneous RISC-V AI-IoT End-Node SoC with 2-to-8b DNN Acceleration and 30%-Boost Adaptive Body Biasing. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Brunno Alves de Abreu, Albi Mema, Simon Thomann, Guilherme Paim, Paulo F. Flores, Sergio Bampi, Hussam Amrouch Compact CMOS-Compatible Majority Gate Using Body Biasing in FDSOI Technology. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Jiho Jung, Ickjin Kwon A Capacitive DC-DC Boost Converter with Gate Bias Boosting and Dynamic Body Biasing for an RF Energy Harvesting System. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Valerio Spinogatti, Cristian Bocciarelli, Francesco Centurelli, Riccardo Della Sala, Alessandro Trifiletti Robust Body Biasing Techniques for Dynamic Comparators. Search on Bibsonomy PRIME The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Robert M. Comaling, Mike Martin C. Diangco, Jefferson A. Hora 22nm FDSOI Forward Body Biasing in Designing Ultra-Low Power, High PSRR Voltage Reference for IoT Power Management Applications. Search on Bibsonomy TENCON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Francesco Conti 0001, Davide Rossi, Gianna Paulin, Angelo Garofalo, Alfio Di Mauro, Georg Rutishauser, Gianmarco Ottavi, Manuel Eggimann, Hayate Okuhara, Vincent Huard, Olivier Montfort, Lionel Jure, Nils Exibard, Pascal Gouedo, Mathieu Louvat, Emmanuel Botte, Luca Benini A 12.4TOPS/W @ 136GOPS AI-IoT System-on-Chip with 16 RISC-V, 2-to-8b Precision-Scalable DNN Acceleration and 30%-Boost Adaptive Body Biasing. Search on Bibsonomy ISSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Geoffrey Chancel, Jean-Marc Gallière, Philippe Maurine A better practice for Body Biasing Injection. Search on Bibsonomy FDTC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Yutang Chen, Yuxuan Luo, Jianping Guo, Xian Tang, Dihu Chen A 2-W, 90%-Efficiency Single-Stage Dual-Output Wireless Power Receiver with 0.1 to 700-mA Output Current Range Through Dynamic Delay Compensation and Bootstrap Adaptive Body Biasing Circuit. Search on Bibsonomy A-SSCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Enis Kobal, Teerachot Siriburanon, Xi Chen 0070, Hieu Minh Nguyen, Robert Bogdan Staszewski, Anding Zhu A Gm-Boosting Technique for Millimeter-Wave Low-Noise Amplifiers in 28-nm Triple-Well Bulk CMOS Using Floating Resistor in Body Biasing. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Wonho Lee, Songcheol Hong Frequency-Reconfigurable SP4T Switch With Plaid Metal Transistors and Forward Body Biasing for Enhanced RON × COFF Characteristics. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Guillaume Tochou, Andreia Cathelin, Antoine Frappé, Andreas Kaiser, Jan M. Rabaey Impact of Forward Body-Biasing on Ultra-Low Voltage Switched-Capacitor RF Power Amplifier in 28 nm FD-SOI. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Zhi Li, Huidong Zhao, Jialu Yin, Shushan Qiao, Yumei Zhou A fully integrated RC oscillator with adaptive-body-biasing. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Geoffrey Chancel, Jean Marc Gallière, Philippe Maurine Body Biasing Injection: To Thin or Not to Thin the Substrate? Search on Bibsonomy COSADE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Clément Beauquier, David Duperray, Chadi Jabbour, Patricia Desgreys, Antoine Frappé, Andreas Kaiser Foreground Static Error Calibration for Current Sources Using Backgate Body Biasing. Search on Bibsonomy RTSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Shih-En Chen, Yi-Chung Lin, Kuang-Wei Cheng A High Sensitivity RF Energy Harvester with Dynamic Body-Biasing CMOS Rectifier. Search on Bibsonomy NEWCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Yaopeng Hu, Yibo Zhao, Wanyuan Qu, Le Ye, Menglian Zhao, Zhichao Tan A 2.87μW 1kHz-BW 94.0dB-SNDR 2-0 MASH ADC Using FIA with Dynamic-Body-Biasing Assisted CLS Technique. Search on Bibsonomy ISSCC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Geoffrey Chancel, Jean Marc Gallière, Philippe Maurine Body Biasing Injection: Impact of substrate types on the induced disturbancesƒ. Search on Bibsonomy FDTC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Munir Ahmad Al-Absi, Ibrahim M. Alkhalifa, Adel A. Mohammed, Abdulaziz Ahmed Al-Khulaifi A CMOS Rectifier Employing Body Biasing Scheme for RF Energy Harvesting. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Carlos A. Pinheiro, Fabián Olivera, Antonio Petraglia A Three-Stage Charge Pump With Forward Body Biasing in 28 nm UTBB FD-SOI CMOS. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Mohammadreza Dolatpoor Lakeh, Jean-Baptiste Kammerer, Enagnon Aguénounon, Dylan Issartel, Jean-Baptiste Schell, Sven Rink, Andreia Cathelin, Françis Calmon, Wilfried Uhring An Ultrafast Active Quenching Active Reset Circuit with 50% SPAD Afterpulsing Reduction in a 28 nm FD-SOI CMOS Technology Using Body Biasing Technique. Search on Bibsonomy Sensors The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Carlos A. Pinheiro, Fabián Olivera, Antonio Petraglia A Three-Stage Charge Pump with Forward Body Biasing in 28 nm UTBB FD-SOI CMOS. Search on Bibsonomy LASCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22David Cordova, Wim Cops, Yann Deval, Francois Rivet, Hervé Lapuyade, Nicolas Nodenot, Yohan Piccin Optimized body-biasing calibration methodology for high-speed comparators in 22nm FDX. Search on Bibsonomy LASCAS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Clément Beauquier, David Duperray, Chadi Jabbour, Patricia Desgreys, Antoine Frappé, Andreas Kaiser Analog Duty Cycle Controller Using Backgate Body Biasing For 5G Millimeter Wave Applications. Search on Bibsonomy ICECS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Yong-Hun Kim, Hyung-Jin Kim, Jaemin Choi, Min-Su Ahn, Dongkeon Lee, Seung-Hyun Cho, Dong-Yeon Park, Young-Jae Park, Min-Soo Jang, Yong-Jun Kim, Jinyong Choi, Sung-Woo Yoon, Jae-Woo Jung, Jae-Koo Park, Jae-Woo Lee, Dae-Hyun Kwon, Hyung-Seok Cha, Si-Hyeong Cho, Seong-Hoon Kim, Jihwa You, Kyoung-Ho Kim, Dae-Hyun Kim 0003, Byung-Cheol Kim, Young-Kwan Kim, Jun-Ho Kim, Seouk-Kyu Choi, Chanyoung Kim, Byongwook Na, Hye-In Choi, Reum Oh, Jeong-Don Ihm, Seung-Jun Bae, Nam Sung Kim, Jung-Bae Lee 25.2 A 16Gb Sub-1V 7.14Gb/s/pin LPDDR5 SDRAM Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an FSS Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3rd-Generation 10nm DRAM. Search on Bibsonomy ISSCC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Sangwoo Lee, Sungsik Park, Yunhong Kim, Youngcheol Chae A 0.6V 86.5dB-DR 40kHz-BW Inverter-Based Continuous-Time Delta-Sigma Modulator with PVT-Robust Body-Biasing Technique. Search on Bibsonomy VLSI Circuits The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Jian-Ming Wu, Yan-Tsang Lin, Yuan-Chih Lin, Min-Lang Yang Body Biasing to Compensate for Process Variation in Gain and NF of an LNA RFIC. Search on Bibsonomy ISPACS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
22Hyo-Jin Lee, Seong-Tae Han, Jong-Ryul Yang CMOS Plasmon Detector With Three Different Body-Biasing MOSFETs. Search on Bibsonomy IEEE Access The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Paul Miresan, Raul Onet, Marius Neag, Marina Dana Topa, Cosmin Chira Design options for implementing in standard CMOS drivers for MEMS body biasing. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Colin O'Flynn Low-Cost Body Biasing Injection (BBI) Attacks on WLCSP Devices. Search on Bibsonomy IACR Cryptol. ePrint Arch. The full citation details ... 2020 DBLP  BibTeX  RDF
22Colin O'Flynn Low-Cost Body Biasing Injection (BBI) Attacks on WLCSP Devices. Search on Bibsonomy CARDIS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Multi-threshold Voltage and Dynamic Body Biasing Techniques for Energy Efficient Ultra Low Voltage Subthreshold Adders. Search on Bibsonomy NorCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Alfio Di Mauro, Florian Zaruba, Fabian Schuiki, Stefan Mach, Luca Benini Live Demonstration: Exploiting Body-Biasing for Static Corner Trimming and Maximum Energy Efficiency Operation in 22nm FDX Technology. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Marcel Runge, Dario Schmock, Enne Wittenhagen, Friedel Gerfers A DAC Linearization Technique Enabling 15-Bit INL through Adaptive Body-Biasing in 22FDX. Search on Bibsonomy ISCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Zushuai Xie, Zhiqiang Wu, Jianhui Wu 0001 Low Voltage Cold Start-Up Ring Oscillator with Dynamic Body Biasing Technique for Battery-Assistance DC Energy Harvesting Systems. Search on Bibsonomy EITCE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
22Pratosh Kumar Pal, Rajendra Kumar Nagaria A Low-Power, Sub-1-V All-MOSFET Subthreshold Voltage Reference Using Body Biasing. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Woojoo Lee, Taewook Kang, Jae-Jin Lee, Kyuseung Han, Joongheon Kim, Massoud Pedram TEI-ULP: Exploiting Body Biasing to Improve the TEI-Aware Ultralow Power Methods. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Przemyslaw Mroszczyk, John Goodacre, Vasilis F. Pavlidis Energy Efficient Flash ADC With PVT Variability Compensation Through Advanced Body Biasing. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Goran HamaAli, Diary R. Sulaiman, Muhammed A. Ibrahim Power and thermal management in SRAM and DRAM using adaptive body biasing technique. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Yan Li, Quan Li, Xin Liu, Xiaosong Wang, Yu Liu 0030 A high efficiency CMOS RF rectifier for RF energy harvesting with dynamic self-body-biasing technique. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Tulio Chaves de Albuquerque, Dylan Issartel, Raphael Clerc, Patrick Pittet, Remy Cellier, Wilfried Uhring, Andreia Cathelin, Françis Calmon Body-biasing considerations with SPAD FDSOI: advantages and drawbacks. Search on Bibsonomy ESSDERC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Sebastian Höppner, Jörg Schreiter, Robert Niebsch, Stephan Scherzer, Ulrich Hensel, Jörg Winkler, Mario Orgis, Holger Eisenreich, Dennis Walter, Uwe Steeb, André Scharfe, Clifford Dmello, Robert Sinkwitz, Heiner Bauer, Alexander Oefelein, Florian Schraut How to Achieve World-Leading Energy Efficiency using 22FDX with Adaptive Body Biasing on an Arm Cortex-M4 IoT SoC. Search on Bibsonomy ESSDERC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Laurent Fesquet, Yoan Decoudu, Alexis Rodrigo Iga Jadue, Thiago Ferreira de Paiva Leite, Otto Aureliano Rolloff, M. Diallo, Rodrigo Possamai Bastos, Katell Morin-Allory, Sylvain Engels A Distributed Body-Biasing Strategy for Asynchronous Circuits. Search on Bibsonomy VLSI-SoC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Philex Ming-Yan Fan, Anand Savanth, Benoît Labbé, Pranay Prabhat, James Myers A 0.98-nW/kHz 33-kHz Fully Integrated Subthreshold-Region Operation RC Oscillator With Forward-Body-Biasing. Search on Bibsonomy ESSCIRC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Ricardo Gomez Gomez, Edwige Bano, Sylvain Clerc Comparative evaluation of Body Biasing and Voltage Scaling for Low-Power Design on 28nm UTBB FD-SOI Technology. Search on Bibsonomy ISLPED The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Florian Schraut, Holger Eisenreich, Sebastian Höppner, Christian Mayr 0001 A Fast Lock-In Ultra Low-Voltage ADPLL Clock Generator with Adaptive Body Biasing in 22nm FDSOI Technology. Search on Bibsonomy ISCAS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Lalit Dani, Neeraj Mishra, Bulusu Anand MOS Varactor RO Architectures in Near Threshold Regime Using Forward Body Biasing Techniques. Search on Bibsonomy VLSID The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
22Takuya Kojima, Naoki Ando, Hayate Okuhara, Ng. Anh Vu Doan, Hideharu Amano Optimization of Body Biasing for Variable Pipelined Coarse-Grained Reconfigurable Architectures. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Szymon Reszewicz, Krzysztof Siwiec, Witold A. Pleskacz 2.4 GHz LC-VCO with Improved Robustness against PVT Using FD-SOI Body Biasing Technique. Search on Bibsonomy DDECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Abdullah Alshehri 0003, Mohammed Al-Qadasi, Abdullah S. Almansouri, Talal Al-Attar, Hossein Fariborzi StrongARM Latch Comparator Performance Enhancement by Implementing Clocked Forward Body Biasing. Search on Bibsonomy ICECS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Jheng-Yi Chen, Ming-Yu Chang, Shi-Hao Chen, Jia-Wei Lee, Meng-Hsueh Chiang Body-biasing assisted vmin optimization for 5nm-node multi-Vt FD-SOI 6T-SRAM. Search on Bibsonomy ISQED The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Karim Zouaq, Abdelhamid Aitoumeri, Abdelmalik Bouyahyaoui, Mustapha Alami A study of Forward Body Biasing techniques for Subthreshold ring Oscillators. Search on Bibsonomy ICSDE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Abdelhamid Aitoumeri, Abdelmalik Bouyahyaoui, Mustapha Alami A 28 GHz LC-VCO using Body Biasing and AAC Techniques with Low Power Consumption. Search on Bibsonomy ICSDE The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
22Shu Hokimoto, Tohru Ishihara, Hidetoshi Onodera A Minimum Energy Point Tracking Algorithm Based on Dynamic Voltage Scaling and Adaptive Body Biasing. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
22Koki Igawa, Masao Yanagisawa, Nozomu Togawa A Floorplan Aware High-Level Synthesis Algorithm with Body Biasing for Delay Variation Compensation. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 224 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license