The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for caches with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1978-1987 (17) 1988 (19) 1989 (24) 1990 (15) 1991 (18) 1992 (31) 1993 (30) 1994 (39) 1995 (49) 1996 (53) 1997 (68) 1998 (59) 1999 (102) 2000 (95) 2001 (95) 2002 (144) 2003 (210) 2004 (208) 2005 (219) 2006 (214) 2007 (225) 2008 (198) 2009 (159) 2010 (108) 2011 (73) 2012 (78) 2013 (94) 2014 (97) 2015 (69) 2016 (89) 2017 (87) 2018 (77) 2019 (85) 2020 (57) 2021 (67) 2022 (65) 2023 (35) 2024 (8)
Publication types (Num. hits)
article(849) incollection(4) inproceedings(2475) phdthesis(52)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2974 occurrences of 1216 keywords

Results
Found 3381 publication records. Showing 3380 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
107Yau-Tsun Steven Li, Sharad Malik, Andrew Wolfe Cache modeling for real-time software: beyond direct mapped instruction caches. Search on Bibsonomy RTSS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF direct mapped instruction caches, worst case timing analysis, cache hits, set associative instruction caches, unified caches, cinderella, research, integer-linear-programming, worst case execution time, data caches, cache storage, design tool, memory performance, cache misses, real-time software, tight bound, cache modeling, hardware system
91Yuan-Shin Hwang, Jia-Jhe Li Snug set-associative caches: Reducing leakage power of instruction and data caches with no performance penalties. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Caches, leakage power, drowsy caches, cache decay
81Yingwu Zhu, Yiming Hu Exploiting client caches to build large Web caches. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hier-GD, Client cache, Latency gain, Infinite cache size, Cooperative proxy caching, Peer-to-peer, Proxy cache
81Yingwu Zhu, Yiming Hu Exploiting Client Caches: An Approach to Building Large Web Caches. Search on Bibsonomy ICPP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
68Derek Bruening, Vladimir Kiriansky, Timothy Garnett, Sanjeev Banerji Thread-Shared Software Code Caches. Search on Bibsonomy CGO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Víctor Jesús Sosa Sosa, Juan Gabriel González Serna, Xochitl Landa Miguez, Francisco Verduzco Medina, Manuel A. Valdés Marrero Dynamic Configuration between Proxy Caches within an Intranet. Search on Bibsonomy ICCSA (4) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Krisztián Flautner, Nam Sung Kim, Steven M. Martin, David T. Blaauw, Trevor N. Mudge Drowsy Caches: Simple Techniques for Reducing Leakage Power. Search on Bibsonomy ISCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
62Josefa Díaz, José Ignacio Hidalgo, Francisco Fernández 0001, Oscar Garnica, Sonia López Improving SMT performance: an application of genetic algorithms to configure resizable caches. Search on Bibsonomy GECCO (Companion) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable caches, genetic algorithms, optimization, caches memories, simultaneous multithreading, gals, adaptive caches
61Kiran Tati, Geoffrey M. Voelker ShortCuts: Using Soft State to Improve DHT Routing. Search on Bibsonomy WCW The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
61Mark Brehob, Richard J. Enbody, Eric Torng, Stephen Wagner On-line restricted caching. Search on Bibsonomy SODA The full citation details ... 2001 DBLP  BibTeX  RDF
61Jeffrey B. Rothman, Alan Jay Smith Sector Cache Design and Performance. Search on Bibsonomy MASCOTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sector cache, simulation, architecture, workloads, multiprogramming
60Michael J. Geiger, Sally A. McKee, Gary S. Tyson Drowsy region-based caches: minimizing both dynamic and static power dissipation. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF region-based caches, energy-aware design, drowsy caches
58Cheng-Kok Koh, Weng-Fai Wong, Yiran Chen 0001, Hai Li 0001 Tolerating process variations in large, set-associative caches: The buddy cache. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF caches, Processor architectures, fault recovery, memory structures
58Pepijn J. de Langen, Ben H. H. Juurlink Reducing traffic generated by conflict misses in caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF caches, embedded processors, power reduction, conflict misses
58Qidong Xu, Patricia J. Teller Unified vs. split TLBs and caches in shared-memory MP systems. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF data references, performance evaluation, caches, discrete event simulation, shared-memory multiprocessors, shared memory systems, trace-driven simulations, cache storage, performance gains, translation-lookaside buffer
57Rabin A. Sugumar, Santosh G. Abraham Set-Associative Cache Simulation Using Generalized Binomial Trees Search on Bibsonomy ACM Trans. Comput. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF all-associativity simulation, binomial tree, inclusion properties, single-pass simulation, trace-driven simulation, cache modeling, set-associative caches
56Edith Cohen, Haim Kaplan Aging through cascaded caches: performance issues in the distribution of web content. Search on Bibsonomy SIGCOMM The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
56André Seznec Decoupled Sectored Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Sectored caches, tag volume, decoupled sectored caches, second-level caches
55Jaume Abella 0001, Antonio González 0001 Heterogeneous way-size cache. Search on Bibsonomy ICS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive, low power, cache memories, set-associative
55Christoph Lindemann, Oliver P. Waldhorst Exploiting epidemic data dissemination for consistent lookup operations in mobile applications. Search on Bibsonomy ACM SIGMOBILE Mob. Comput. Commun. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
55Christoph Lindemann, Oliver P. Waldhorst Consistency mechanisms for a distributed lookup service supporting mobile applications. Search on Bibsonomy MobiDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ad-hoc networked databases, consistency maintenance in weakly connected environments, epidemic algorithms for data dissemination, data caching
52Samuel Rodríguez, Bruce L. Jacob Energy/power breakdown of pipelined nanometer caches (90nm/65nm/45nm/32nm). Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer design, pipelined caches, cache design
52Onur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. Search on Bibsonomy Int. J. Parallel Program. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF cache filtering, speculative memory references, Caches, runahead execution, cache pollution
52Kuang-Chih Liu, Chung-Ta King On the effectiveness of sectored caches in reducing false sharing misses. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sectored caches, false sharing misses, bus-based multiprocessors, coherence unit, MESI protocol, LU, SORBYR, SORBYC, benchmarks, FFT, performance metric, cache storage, Radix
52Sunil Kim, Alexander V. Veidenbaum Stride-directed Prefetching for Secondary Caches. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Secondary Caches, Stride Detection, Memory Hierarchy, Data Prefetching
51David A. Koufaty, Xiangfeng Chen, David K. Poulsen, Josep Torrellas Data Forwarding in Scalable Shared-Memory Multiprocessors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Memory latency hiding, forwarding and prefetching, multiprocessor caches, address trace analysis, scalable shared-memory multiprocessors
51Christina Caches, Mo Mansouri Applications of Systems Thinking for Scooter Sharing Transportation System. Search on Bibsonomy CSDM The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
50Wei Pei, Wen-Ben Jone, Yiming Hu Fault Modeling and Detection for Drowsy SRAM Caches. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Michela Becchi, Mark A. Franklin, Patrick Crowley Performance/area efficiency in chip multiprocessors with micro-caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF networking workload, chip multiprocessor, cache hierarchies
50Isabelle Puaut WCET-Centric Software-controlled Instruction Caches for Hard Real-Time Systems. Search on Bibsonomy ECRTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Xueyan Tang, Samuel T. Chanson Coordinated Management of Cascaded Caches for Efficient Content Distribution. Search on Bibsonomy ICDE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
50Nam Sung Kim, Krisztián Flautner, David T. Blaauw, Trevor N. Mudge Drowsy instruction caches: leakage power reduction using dynamic voltage scaling and cache sub-bank prediction. Search on Bibsonomy MICRO The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
50Edith Cohen, Eran Halperin, Haim Kaplan Performance Aspects of Distributed Caches Using TTL-Based Consistency. Search on Bibsonomy ICALP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Rui Min, Wen-Ben Jone, Yiming Hu Location cache: a low-power L2 cache system. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L1/L2 caches, data location, power, TLB, set-associative caches
46Magnus Ekman, Per Stenström, Fredrik Dahlgren TLB and snoop energy-reduction using virtual caches in low-power chip-multiprocessors. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF virtual caches, low-power, CMP, snoop
45Hyunhee Kim, Jung Ho Ahn, Jihong Kim 0001 Replication-aware leakage management in chip multiprocessors with private L2 cache. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF leakage power management, chip multiprocessors, L2 caches
44Randall T. White, Christopher A. Healy, David B. Whalley, Frank Mueller 0001, Marion G. Harmon Timing Analysis for Data Caches and Set-Associative Caches. Search on Bibsonomy IEEE Real Time Technology and Applications Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
44Aviral Shrivastava, Jongeun Lee, Reiley Jeyapaul Cache vulnerability equations for protecting data in embedded processor caches from soft errors. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache vulnerability, static analysis, embedded processors, soft errors, code transformation, compiler technique
44Girish Chandramohan, Ramaswamy Govindarajan Improving Performance of Digest Caches in Network Processors. Search on Bibsonomy HiPC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Derek Bruening, Vladimir Kiriansky Process-shared and persistent code caches. Search on Bibsonomy VEE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF software code cache, tool scalability, binary translation, dynamic instrumentation
44Wei Wu 0024, Sheldon X.-D. Tan, Jun Yang 0002, Shih-Lien Lu Improving the reliability of on-chip data caches under process variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Sonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. Search on Bibsonomy HiPEAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Chuanjun Zhang Balanced instruction cache: reducing conflict misses of direct-mapped caches through balanced subarray accesses. Search on Bibsonomy IEEE Comput. Archit. Lett. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Martti Forsell Reducing the associativity and size of step caches in CRCW operation. Search on Bibsonomy IPDPS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Chuanjun Zhang Balanced Cache: Reducing Conflict Misses of Direct-Mapped Caches. Search on Bibsonomy ISCA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Zhao Zhang 0010, Zhichun Zhu, Xiaodong Zhang 0001 Design and Optimization of Large Size and Low Overhead Off-Chip Caches. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Ann Gordon-Ross, Susan Cotterell, Frank Vahid Tiny instruction caches for low power embedded systems. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF embedded systems., fixed program, low power, instruction cache, low energy, architecture tuning, Loop cache, filter cache
44Theodore R. Haining, Darrell D. E. Long Management policies for non-volatile write caches. Search on Bibsonomy IPCCC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
44Milind B. Kamble, Kanad Ghose Energy-Efficiency of VLSI Caches: A Comparative Study. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
43Mohsen Sharifi, Behrouz Zolfaghari YAARC: yet another approach to further reducing the rate of conflict misses. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Skewed associative cache, YAARC cache, Hit rate, Cache, Conflict misses
43Padma Apparao, Ravi R. Iyer 0001, Donald Newell Implications of cache asymmetry on server consolidation performance. Search on Bibsonomy IISWC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Yuanyuan Zhou 0001, Zhifeng Chen, Kai Li 0001 Second-Level Buffer Cache Management. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Patcharee Basu, Kanchana Kanchanasut A Multicast Push Caching System over a UDLR Satellite Link. Search on Bibsonomy SAINT Workshops The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Unidirectional link routing protocol, Reliable multicast, Cooperative cache, Push technology
43William Y. Chen, Pohua P. Chang, Thomas M. Conte, Wen-mei W. Hwu The Effect of Code Expanding Optimizations on Instruction Cache Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF code expanding optimizations, instruction placement, function inline expansion, superscalar optimizations, small caches, medium caches, load forwarding, large caches, C compiler, code expansion, optimisation, cache memory, memory architecture, buffer storage, instruction cache, code optimization, cache design, miss ratio
41Alexandre E. Eichenberger, Santosh G. Abraham Modeling load imbalance and fuzzy barriers for scalable shared-memory multiprocessors. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fuzzy barriers, overall execution time, parallel region, nondeterministic load imbalance modelling, random replacement policy, processor caches, cyclic access stream, interprocessor synchronization, 64-processor KSR system, Kendall Square Research system, random first-level caches, performance evaluation, resource allocation, concurrency control, synchronisation, shared memory systems, cache storage, variance, performance improvement, network contention, hit ratio, scalable shared-memory multiprocessors
39Doe Hyun Yoon, Mattan Erez Memory mapped ECC: low-cost error protection for last level caches. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, error correction, soft error, last-level caches
39Jun Yan 0008, Wei Zhang 0002 Analyzing the worst-case execution time for instruction caches with prefetching. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF instruction caches, hard real-time, Worst-case execution time analysis, instruction prefetching
39Edya Ladan-Mozes, Charles E. Leiserson A consistency architecture for hierarchical shared caches. Search on Bibsonomy SPAA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF mapping collision, message race, progressive protocol, memory hierarchy, deadlock, sequential consistency, cache consistency, shared caches, fat-tree
39Kim M. Hazelwood, Michael D. Smith 0001 Managing bounded code caches in dynamic binary optimization systems. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF code caches, Dynamic optimization, just-in-time compilation, dynamic translation
39Georgi Gaydadjiev, Stamatis Vassiliadis SAD Prefetching for MPEG4 Using Flux Caches. Search on Bibsonomy SAMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Flux caches, Prefetching mechanisms, Multimedia, Reconfigurable architectures
39Jia-Jhe Li, Yuan-Shin Hwang Snug set-associative caches: reducing leakage power while improving performance. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF leakage power, set-associative caches
39Mathias Spjuth, Martin Karlsson, Erik Hagersten Skewed caches from a low-power perspective. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF elbow, skewed caches, low-power, CAT
39Salvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli Exploiting temporal locality in drowsy cache policies. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF drowsy cache policies, reuse information, low-power, temporal locality, set-associative caches
39Jayaram Mudigonda, Harrick M. Vin, Raj Yavatkar Overcoming the memory wall in packet processing: hammers or ladders? Search on Bibsonomy ANCS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multithreading, network processors, data-caches
39Stephen J. Walsh, John A. Board Pollution control caching. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pollution control caching, high speed processors, bandwidth mismatch, standard DRAMS, on-chip caches, miss ratio statistics, expected clock cycles per instruction, main memory latencies, PCC+VB, discrete event simulation, memory architecture, trace driven simulation, cache storage, memory performance, ANOVA, DRAM chips
39Ching-Long Su, Alvin M. Despain Cache designs for energy efficiency. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cache design techniques, superpipelined processors, cache energy consumption estimation, block buffering, cache sub-banking, Gray code addressing, instruction cache designs, consecutive accessing, energy efficiency, microprocessors, power consumption, energy conservation, data caches, cache storage, superscalar processors, Gray codes, energy reduction, CMOS memory circuits
39Anant Agarwal Performance Tradeoffs in Multithreaded Processors. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF context-switchingoverhead, multiprocessingsystems, performance evaluation, parallel algorithms, caches, multiprocessor interconnection networks, storage management, data-sharing, buffer storage, switching theory, multithreaded processors, network bandwidth, network contention, parallelprogramming, cache interference
37Mehrtash Manoochehri, Alireza Ejlali, Seyed Ghassem Miremadi Joint write policy and fault-tolerance mechanism selection for caches in DSM technologies: Energy-reliability trade-off. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
37Naveen Muralimanohar, Rajeev Balasubramonian, Norman P. Jouppi Optimizing NUCA Organizations and Wiring Alternatives for Large Caches with CACTI 6.0. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache archi- tectures (NUCA), on-chip intercon- nects, memory hierarchies, cache models
37Ziyu Lin, Dongqing Yang, Guojie Song, Tengjiao Wang 0003 Dealing with Query Contention Issue in Real-Time Data Warehouses by Dynamic Multi-level Caches. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Bradford M. Beckmann, Michael R. Marty, David A. Wood 0001 ASR: Adaptive Selective Replication for CMP Caches. Search on Bibsonomy MICRO The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Ja Chun Ku, Serkan Ozdemir, Gokhan Memik, Yehea I. Ismail Power density minimization for highly-associative caches in embedded processors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cache, embedded processor, leakage power, temperature
37Song Jiang 0001, Xiaodong Zhang 0001 ULC: A File Block Placement and Replacement Protocol to Effectively Exploit Hierarchical Locality in Multi-Level Buffer Caches. Search on Bibsonomy ICDCS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
37Yingwu Zhu, Yiming Hu Disk Built-in Caches: Evaluation on System Performance. Search on Bibsonomy MASCOTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Se-Hyun Yang, Babak Falsafi Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. Search on Bibsonomy MICRO The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Andrés Djordjalian Minimally-Skewed-Associative Caches. Search on Bibsonomy SBAC-PAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
37Rui Min, Yiming Hu Improving Performance of Large Physically Indexed Caches by Decoupling Memory Addresses from Cache Addresses. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Novel memory architectures, cache, memory systems, TLB, performance enhancement
37Roberto Ferreira Brandão, Ricardo de Oliveira Anido A Parallel Simulator for Distributed and Cooperative Web Caches. Search on Bibsonomy DS-RT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
37Parthasarathy Ranganathan, Sarita V. Adve, Norman P. Jouppi Reconfigurable caches and their application to media processing. Search on Bibsonomy ISCA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Edward S. Tam, Jude A. Rivers, Vijayalakshmi Srinivasan, Gary S. Tyson, Edward S. Davidson Active Management of Data Caches by Exploiting Reuse Information. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Multilateral cache, reuse information, active management
37André Seznec, François Bodin Skewed-associative Caches. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF skewed-associative cache, cache, microprocessors, set-associative cache
37Yixin Shi, Gyungho Lee Dynamic Partition of Memory Reference Instructions - A Register Guided Approach. Search on Bibsonomy Euro-Par The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
37Christian Bachmeir, Jianxiang Peng, Hans-Jörg Vögel, Chris Wallace, Gavin Conran Diversity Protected, Cache Based Reliable Content Distribution Building on Scalable, P2P, and Multicast Based Content Discovery. Search on Bibsonomy HSNMC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Pablo Rodriguez 0001, Christian Spanner, Ernst W. Biersack Analysis of web caching architectures: hierarchical and distributed caching. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF performance, World Wide Web (WWW), Caching, web
37Doug Burger, James R. Goodman, Alain Kägi Memory Bandwidth Limitations of Future Microprocessors. Search on Bibsonomy ISCA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
37Richard E. Kessler, Richard Jooss, Alvin R. Lebeck, Mark D. Hill Inexpensive Implementations of Set-Associativity. Search on Bibsonomy ISCA The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
33Sebastian Altmeyer, Claire Maiza, Jan Reineke 0001 Resilience analysis: tightening the CRPD bound for set-associative caches. Search on Bibsonomy LCTES The full citation details ... 2010 DBLP  DOI  BibTeX  RDF cache-related preemption delay, lru caches, timing analysis
33Feng Chen 0005, Xiaodong Zhang 0001 PS-BC: power-saving considerations in design of buffer caches serving heterogeneous storage devices. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power management, energy saving, buffer caches, hard disk
33Xiufeng Sui, Junmin Wu, Guoliang Chen 0001, Yixuan Tang, Xiaodong Zhu Augmenting cache partitioning with thread-aware insertion/promotion policies to manage shared caches. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF shared caches, replacement, cache partitioning
33Thanos Makatos, Yannis Klonatos, Manolis Marazakis, Michail D. Flouris, Angelos Bilas Using transparent compression to improve SSD-based I/O caches. Search on Bibsonomy EuroSys The full citation details ... 2010 DBLP  DOI  BibTeX  RDF online block-level compression, solid state disk caches, evaluation, I/O performance
33Mary Jane Irwin Shared caches in multicores: the good, the bad, and the ugly. Search on Bibsonomy ISCA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF caches, multicore
33Anand Bhaskar Das, Siddharth Goyal, Anand Gupta Practical Optimal Caching Using Multiple Virtual Caches in Multiple Query Optimization. Search on Bibsonomy IMTIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Advanced Databases, Multi-Query Optimisation, Multiple Virtual Caches, Optimal Caching Algorithm
33Kyle J. Nesbit, James Laudon, James E. Smith 0001 Virtual private caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality of service, chip multiprocessor, soft real-time, shared caches, performance isolation
33Kimish Patel, Luca Benini, Enrico Macii, Massimo Poncino STV-Cache: a leakage energy-efficient architecture for data caches. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF architecture, caches, leakage power
33Gokhan Memik, Glenn Reinman, William H. Mangione-Smith Reducing energy and delay using efficient victim caches. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF miss detection, network processors, victim caches
33Soontae Kim, Narayanan Vijaykrishnan, Mary Jane Irwin, Lizy Kurian John On load latency in low-power caches. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF load latency, low-power, caches
33Mesaac Makpangou, Guillaume Pierre, Christian Khoury, Neilze Dorta Replicated Directory Service for Weakly Consistent Distributed Caches. Search on Bibsonomy ICDCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Cooperative Caches, Directory Service, Weak Consistency
33Masaru Takesue Psi-Cubes: Recursive Bused Fat-Hypercubes for Multilevel Snoopy Caches. Search on Bibsonomy ISPAN The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Bused networks, recursive networks, trees of buses, multilevel caches, coherence directories, clustering, Hamming codes
33Omar Hammami Real time aspects of cluster based caches. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cluster based caches, performance evaluation, performance, real-time systems, predictability, cache storage, hit ratio, cache organization, hit time
33Roger A. Bringmann, Scott A. Mahlke, Wen-mei W. Hwu A study of the effects of compiler-controlled speculation on instruction and data caches. Search on Bibsonomy HICSS (1) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compiler-controlled speculation, nonnumeric programs, speculatively scheduled code, aggressive speculation models, scheduling, performance evaluation, parallel programming, time, instruction level parallelism, program compilers, data caches, cache storage, instruction cache, cache misses, performance results
Displaying result #1 - #100 of 3380 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license